TWI698931B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI698931B
TWI698931B TW107142233A TW107142233A TWI698931B TW I698931 B TWI698931 B TW I698931B TW 107142233 A TW107142233 A TW 107142233A TW 107142233 A TW107142233 A TW 107142233A TW I698931 B TWI698931 B TW I698931B
Authority
TW
Taiwan
Prior art keywords
fin
oxide
type metal
semiconductor region
spacer layer
Prior art date
Application number
TW107142233A
Other languages
English (en)
Other versions
TW201935570A (zh
Inventor
林瑋耿
徐志朋
李俊德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201935570A publication Critical patent/TW201935570A/zh
Application granted granted Critical
Publication of TWI698931B publication Critical patent/TWI698931B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導體裝置的形成方法,包括:形成第一鰭狀物,其突出於基底的上方,第一鰭狀物具有一PMOS區;在PMOS區中的第一鰭狀物的上方形成第一閘極結構;在第一鰭狀物及第一閘極結構的上方形成第一間隔物層;以及在第一間隔物層的上方形成第二間隔物層。上述方法更包括施行第一蝕刻製程以從PMOS區中的第一鰭狀物的頂表面及側壁移除第二間隔物層;施行第二蝕刻製程以從PMOS區中的第一鰭狀物的頂表面及側壁移除第一間隔物層;以及在PMOS區中的第一鰭狀物的上方磊晶成長第一源極/汲極材料,第一源極/汲極材料沿著PMOS區中的第一鰭狀物的頂表面及側壁延伸。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體裝置及其形成方法,特別是關於鰭式場效電晶體裝置及其形成方法。
半導體工業已歷經多種電子構件(例如:電晶體、二極體、電阻器、電容器等)的集積密度的持續改善而造成的快速成長。在大多數情況下,在極機密度方面的改善曾經是來自最小特徵尺寸的反復縮小,其得以將更多的構件整合至一給定的面積中。
鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)裝置變得常用於積體電路中。鰭式場效電晶體裝置具有三維結構,其包括從一基底突出的一半導體鰭狀物。配備來控制上述鰭式場效電晶體裝置的一導體通道的電荷載子的流動的一閘極結構捲繞著上述半導體鰭狀物。例如,在三閘極鰭式場效電晶體裝置中,其閘極結構捲繞著半導體鰭狀物的三側,藉此在其半導體鰭狀物的三側形成導體通道。
一實施例是關於一種半導體裝置的形成方法,包括:形成一第一鰭狀物,其突出於一基底的上方,上述第一鰭狀物具有一P型金屬─氧化物─半導體區域;在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方形成一第一閘極結構;在上述第一鰭狀物的上方與上述第一閘極結構的上方形成一第一間隔物層;在上述第一間隔物層的上方形成一第二間隔物層;施行一第一蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的一頂表面及複數個側壁移除上述第二間隔物層;施行一第二蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁移除上述第一間隔物層;以及在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方磊晶成長一第一源極/汲極材料,上述第一源極/汲極材料沿著上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁延伸。
另一實施例是關於一種半導體裝置的形成方法,包括:形成一鰭狀物,其突出於一基底的上方,上述鰭狀物具有一P型金屬─氧化物─半導體區域與一N型金屬─氧化物─半導體區域;在上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上方形成一第一閘極;在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上方形成一第二閘極;在上述鰭狀物的上方、上述第一閘極的上方及上述第二閘極的上方形成一第一間隔物層;在上述第一間隔物層的上方形成一第二間隔物層,上述第二間隔物層不同於上述第一間隔物層;形成一圖形化的罩幕層以覆蓋上述N型金屬─氧化物─半導體區域但使上述P型金屬─氧化物─半導體區域曝露;以及在形成上述圖形化的罩幕層之後:施行一第一蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述鰭狀物的一頂表面及複數個側壁移除上述第二間隔物層;施行一第二蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁移除上述第一間隔物層,藉此曝露出上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁;及沿著上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁,磊晶成長一第一源極/汲極材料。
又另一實施例是關於一種半導體裝置,包括:一鰭狀物,其突出於一基底的上方,上述鰭狀物具有一第一部分與一第二部分,上述第一部分在一P型金屬─氧化物─半導體區域中,上述第二部分在一N型金屬─氧化物─半導體區域中;一第一閘極結構,在上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述第一部分的上方;一第二閘極結構,在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上述第二部分的上方;複數個第一磊晶源極/汲極區,在上述第一閘極結構的二側且在上述鰭狀物的上述第一部分的上方,上述第一磊晶源極/汲極區在上述P型金屬─氧化物─半導體區域中且沿著上述鰭狀物的上述第一部分的一第一上表面及複數個第一側壁延伸;以及複數個第二磊晶源極/汲極區,在上述第二閘極結構的二側且在上述鰭狀物的上述第二部分的上方,上述第二磊晶源極/汲極區在上述N型金屬─氧化物─半導體區域中且在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上述第二部分的一第二上表面的上方。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同構件。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包括上述第一構件與上述第二構件是直接接觸的實施例,亦可能包括了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種構件。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或構件與另一個(些)元件或構件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
第1圖是在一透視圖中繪示一鰭式場效電晶體30的一例。鰭式場效電晶體30包括一基底50與一鰭狀物64,鰭狀物64突出於基底50的上方。基底50具有形成於其上的複數個隔離區62,且鰭狀物64突出於相鄰的隔離區62的上方且在相鄰的隔離區62之間。一閘極介電質66沿著鰭狀物64的複數個側壁且在鰭狀物64的一頂表面的上方。複數個源極/汲極區80在鰭狀物64中且在閘極68的二側。第1圖還顯示用於後續圖式的參考剖面。剖面B-B沿著鰭式場效電晶體30的閘極68的縱軸,剖面A-A直交於剖面B-B且沿著鰭狀物64的縱軸且在例如源極/汲極區80之間的電流方向。剖面C-C平行於剖面B-B且橫越鰭式場效電晶體30的一源極/汲極區80。為了明確,後續的圖式會參考上述的參考剖面。
第2、3A、3B、4A~4C、5A~5F、6A~6C、7A~7C、8A~8C、9A~9C、10A~10C、11A~11C、12A~12C與13~16圖是根據一實施例的一鰭式場效電晶體裝置100在各個製造階段的各類視圖(例如:平面圖、剖面圖)。鰭式場效電晶體裝置100是類似於在第1圖中的鰭式場效電晶體30,但具有多個鰭狀物。
第2圖是用於製造鰭式場效電晶體裝置100的一基底50的平面圖。基底50可以是一半導體基底,例如為一塊狀半導體、一絕緣層上覆半導體(semiconductor-on-insulator;SOI)基底或其類似者,其可以是已摻雜(例如:具有p型或n型的摻雜物)或未摻雜。基底50可以是一晶圓,例如一矽晶圓。一般而言,一絕緣層上覆半導體基底包括形成於一絕緣層上的一層半導體材料。上述絕緣層可以是例如一埋入氧化物(buried oxide;BOX)層、氧化矽層或其類似者。上述絕緣層是備於一基底上,上述基底通常為矽基底或玻璃基底。亦可使用其他基底,例如一多層或組成漸變基底。在一些實施例中,基底50的半導體材料可包括:矽;鍺;一化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述之組合。
如第2圖所示,基底50在一區域200包括一第一部分並在一區域300包括一第二部分。在區域200之基底50的上述第一部分可用來形成例如n型金屬─氧化物─半導體場效電晶體(metal-oxide-semiconductor field-effect transistors;MOSFETs)等的n型裝置,在區域300之基底50的上述第二部分可用來形成例如p型金屬─氧化物─半導體場效電晶體等的p型裝置。因此,可將區域200稱為基底50的一n型金屬─氧化物─半導體區域,而可將區域300稱為基底50的一p型金屬─氧化物─半導體區域。在其他實施例中,在區域200與區域300中,都是形成p型裝置(或n型裝置)。
第3A圖顯示第2圖中的基底50中,在區域300中的基底50的一頂部被一半導體材料50A取代的情況。第3B圖顯示沿著剖面D-D的第3A圖中的結構的剖面圖。
請參考第3A與3B圖,以例如適用於在區域300形成一對應形式的裝置(例如:p型裝置)等的半導體材料50A取代基底50之在區域300的一部分。例如,半導體材料50A可以是或包括磊晶成長的矽鍺(silicon germanium)。為了形成半導體材料50A,使用化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、旋轉塗布法(spin coating)或其他適當的沉積方法,在基底50的上方形成一罩幕層(未繪示),上述罩幕層可以是例如光阻等的一光敏層。然後,使用例如光學微影及/或圖形化技術,將上述罩幕層圖形化。上述圖形化的罩幕層覆蓋區域200但曝露出區域300。然後,藉由例如反應性離子蝕刻(reactive ion etch;RIE)、中性粒子束蝕刻(neutral beam etch;NBE)、其類似者或上述之組合等的一適當的蝕刻製程,移除基底50之在區域300曝露的部分,以在區域300中形成一凹部(未繪示)。
接下來,施行磊晶以在區域300中的上述凹部中,成長半導體材料50A。磊晶成長的半導體材料50A可以在成長的過程中被臨場(in situ)摻雜,而可以不需要前置或事後的佈植,但亦可以一起使用臨場摻雜與佈植摻雜。在磊晶之後,可藉由例如蝕刻或電漿灰化(plasma ashing)等的一適當的移除製程,移除上述罩幕層。然後,可施行例如化學機械研磨(chemical mechanical polish;CMP)等的一平坦化製程,以將半導體材料50A的頂表面與基底50的頂表面齊平。第3B圖顯示在半導體材料50A與基底50之間的一界面63,界面63可以是或可以不是如第3B圖所示的一筆直的線。
可選擇是否形成另一個圖形化的罩幕層(未繪示),以覆蓋區域300但曝露出區域200,而可以將基底50之在區域200曝露的部分移除並可以以一磊晶成長的半導體材料50B取代之,其以假想的方式(in phantom)繪示於第3B圖。一界面63’可形成於半導體材料50B(如有形成)與基底50之間。半導體材料50B可以是或包括適用於在區域200形成一對應形式的裝置(例如:n型裝置)的一磊晶半導體材料。例如,半導體材料50B可以是或包括磊晶成長的碳化矽。
在其他實施例中,半導體材料50B(例如:一磊晶半導體材料)取代基底50之在區域200的一部分,而可以選擇是否以半導體材料50A(例如:一磊晶半導體材料)取代基底50之在區域300的一部分。在又其他的實施例中,未形成前述的磊晶半導體材料(例如:半導體材料50A與50B),因此可以省略如第3A與3B圖所示的製程。以下的討論使用在區域300形成半導體材料50A而未在區域200形成半導體材料50B的基底50之實施例架構,而要瞭解的是,在本發明實施例敘述的製程亦可應用於例如前述的其他基底。在後文的討論中,是使用基底51,其中將基底50與半導體材料50A/50B(若有形成)稱為基底51。
半導體材料50A或50B(例如:磊晶半導體材料)的晶格常數可大於、實質上等於或小於基底50的晶格常數。半導體材料50A或50B的晶格常數是由所形成的鰭式場效電晶體的傳導形式(例如:n型或p型)所選擇的材料所決定。此外在一N型金屬─氧化物─半導體區域中磊晶成長的材料不同於在一P型金屬─氧化物─半導體區域中的材料,可能有其優點。在各種實施例中,上述半導體材料(例如:半導體材料50A、50B)可包括矽鍺(silicon germanium)、矽碳(silicon carbide)、純鍺或實質上的純鍺、一III-V族化合物半導體、一II-VI化合物半導體或其類似材料。例如用於形成III-V族化合物半導體的可取得的材料包括但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP或其類似材料。
接下來,如第4A~4C圖所示,將基底51圖形化,以形成半導體鰭狀物64(亦稱為鰭狀物64)。第4A圖顯示在形成鰭狀物64之後的鰭式場效電晶體裝置100的平面圖,第4B與4C圖分別顯示第4A圖中的鰭式場效電晶體裝置100之沿著剖面F-F與E-E的剖面圖。如第4A圖所示,每個鰭狀物64包括在區域200中的部分64A與在區域300中的部分64B。部分64A與部分64B可以在一相同的製程步驟(例如:一相同的圖形化製程)中形成,其細節將參酌第4B與4C圖而在後文敘述。
請參考第4B與4C圖,使用例如光學微影及蝕刻技術,將基底51圖形化。例如,在基底51的上方形成例如一墊氧化物層(未繪示)與其上的一墊氮化物層(未繪示)等的一罩幕層。上述墊氧化物層可以是包括氧化矽的一薄膜,其形成是使用例如一熱氧化製程。上述墊氧化物層可作為在基底51與其上方的上述墊氮化物層之間的一黏著層。在一些實施例中,上述墊氮化物層是以氮化矽、氧氮化矽(silicon oxynitride)、碳化矽、碳氮化矽(silicon carbonitride)、其類似材料或上述之組合形成,且可使用例如低壓化學氣相沉積(low-pressure chemical vapor deposition;LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)形成。
可使用光學微影技術,將上述罩幕層圖形化。一般而言,光學微影技術是使用已沉積的一光阻材料(未繪示),對其照光(曝光)及使其顯影以移除此光阻材料的一部分。留下來的光阻材料保護其下層材料(例如在這個例子中的上述罩幕層),避免例如蝕刻等的後續製程步驟的侵害。在這個例子中,使用上述光阻材料來對上述墊氧化物層與上述墊氮化物層進行圖形化,以形成圖形化的罩幕58。如第4B與4C圖所示,圖形化的罩幕58包括圖形化的墊氧化物層52與圖形化的墊氮化物層56。
圖形化的罩幕58會在後續用於將基底51圖形化,以形成複數個溝槽61,藉此如第4B與4C圖所示,在相鄰的溝槽之間定義出複數個半導體鰭狀物64。每個半導體鰭狀物64具有在區域200(例如:一N型金屬─氧化物─半導體區域)中的部分64A(請參閱第4A圖)與在區域300(例如:一P型金屬─氧化物─半導體區域)中的部分64B(請參閱第4A圖)。部分64A可用來形成例如一n型的鰭式場效電晶體,而部分64B可用來形成例如一p型的鰭式場效電晶體。在下文的討論中,是將鰭狀物64的部分64A稱為一鰭狀物64A,且將鰭狀物64的部分64B稱為一鰭狀物64B。
在一些實施例中,藉由例如反應性離子蝕刻(reactive ion etch;RIE)、中性粒子束蝕刻(neutral beam etch;NBE)、其類似者或上述之組合等的使用,在基底51蝕刻出複數個溝槽,而形成半導體鰭狀物64。上述蝕刻可為非等向性。在一些實施例中,上述溝槽可以是彼此平行的條狀物(以俯視的觀點),且緊密地彼此間隔排列。在一些實施例中,上述溝槽可為連續式的並圍繞半導體鰭狀物64。
可藉由適當的方法將鰭狀物64圖形化。例如,可使用一或多道光學微影製程來將鰭狀物64圖形化,上述光學微影製程包括雙重圖形化(double-patterning)或多重圖形化(multi-patterning)製程。一般而言,雙重圖形化或多重圖形化製程結合光學微影與自對準製程,得以使形成的圖形的例如節距(pitch)小於使用單一、直接圖形化製程所能得到的節距。例如,在一實施例中,在一基底的上方形成一犧牲層並使用一光學微影製程將此犧牲層圖形化。使用一自對準製程,以與上述已圖形化的犧牲層並排的方式形成間隔物。然後,移除上述犧牲層,再使用留下來的間隔物或心軸(mandrels)將上述鰭狀物圖形化。
回想基底50之在區域300中的一頂部被半導體材料50A所取代。因此,依存於溝槽61的底部相對於半導體材料50A與基底50之間的界面63的位置(例如:在界面63、高於界面63或低於界面63),鰭狀物64B可包括一或多種材料。在第4C圖的例子中,溝槽61的底部在低於界面63之處延伸,因此,鰭狀物64B具有高於界面63的一第一部分與低於界面63的一第二部分,上述第一部分是以半導體材料50A(例如:矽鍺)形成,上述第二部分是以基底50的材料(例如:矽)形成。在第4B圖所示的例子中,鰭狀物64A整個是以基底50的材料(例如:矽)形成。在其他實施例中,溝槽61的底部在高於界面63之處或在界面63延伸,因此鰭狀物64B整個是以半導體材料50A(例如:矽鍺)形成,而鰭狀物64A整個是以基底50的材料(例如:矽)形成。
在鰭狀物64的結構及形成方法可以作變化,並均可包含於本發明實施例的範圍中。例如,雖然在第4A~4B圖是繪示形成二個鰭狀物64,但可形成多於或少於二個鰭狀物。作為另一個例子,鰭狀物64的形成可藉由對基底50進行蝕刻以形成複數個第一鰭狀物(其至少一部分將會在後續製程中被移除並被取代)、形成圍繞上述複數個第一鰭狀物的一隔離材料、移除部分(例如:在區域300的部分)的上述複數個第一鰭狀物以在上述隔離材料中形成複數個凹部、以及在上述凹部中磊晶成長一或多種半導體材料以形成鰭狀物64。
接下來,如第5A~5F圖所示,在基底50的上方且在鰭狀物64的二側形成隔離區62,並在鰭狀物64的上方形成虛置(dummy)閘極結構75(例如:虛置閘極結構75A與75B)。第5A圖是鰭式場效電晶體裝置100的一平面圖,而第5B與5C圖分別是沿著剖面線F-F與E-E的第5A圖中的鰭式場效電晶體裝置100的剖面圖,第5D與5E圖分別是沿著剖面線H-H與G-G的第5A圖中的鰭式場效電晶體裝置100的剖面圖,第5F圖是沿著剖面線I-I的第5A圖中的鰭式場效電晶體裝置100的剖面圖。
請參考第5B與5C圖,藉由以一絕緣材料充填溝槽61並將上述絕緣材料凹下,而形成隔離區62。上述絕緣材料可以是例如氧化矽等的氧化物、氮化物、其類似材料或上述之組合,且可藉由以下方法形成:高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)、可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)(例如:在一遠距離電漿系統(remote plasma system)中的化學氣相沉積類的材料的沉積,並作事後熟化(post curing)以使其轉變為例如氧化物的其他材料)、其類似方法或上述之組合。可使用其他絕緣材料及/或其他形成方法。例如化學機械研磨的一平坦化製程可移除多餘的絕緣材料,以將上述隔離材料的一頂表面與半導體鰭狀物64的頂表面形成為共平面(未繪示)。可藉由上述化學機械研磨製程來移除圖形化的罩幕58(請參閱第4B與4C圖)。
在一些實施例中,隔離區62在隔離區62與基底50/鰭狀物64之間的界面具有一襯墊(liner),例如一襯墊氧化物(未繪示)。在一些實施例中,形成上述襯墊氧化物,以減少在基底50與隔離區62之間的界面的結晶缺陷。同樣地,亦可將上述襯墊氧化物用來減少在半導體鰭狀物64與隔離區62之間的界面的結晶缺陷。上述襯墊氧化物(例如:氧化矽)可以是一熱氧化物,其經由基底50及/或鰭狀物64的一表面層的熱氧化而形成,但亦可使用任何適當的方法來形成上述襯墊氧化物。
接下來,使上述絕緣材料凹下以形成隔離區62,例如為淺溝槽隔離(shallow trench isolation;STI)區。使上述絕緣材料凹下而使半導體鰭狀物64A/64B的上部從相鄰的隔離區62之間突出。隔離區62的頂表面可具有(如圖所示的)一平坦表面、一上凸表面、一下凹表面(例如碟型凹陷(dishing))或上述之組合。藉由一適當的蝕刻,可以將隔離區62的頂表面形成為平坦、上凸及/或下凹。可使用一適當的蝕刻製程來使隔離區62凹陷,上述適當的蝕刻製程例如是對隔離區62的材料具有選擇性者。例如,可使用利用一CERTAS® 蝕刻的一化學氧化物移除,或是可使用應用材料公司的SICONI工具或稀釋的氫氟酸(dilute hydrofluoric;dHF)。
第5A~5C圖繪示在半導體鰭狀物64的上方形成虛置閘極結構75。在一些實施例中,虛置閘極結構75包括閘極介電質66與閘極68。為了形成虛置閘極結構,在半導體鰭狀物64(例如:鰭狀物64A與64B)上與隔離區62上,形成一介電材料。上述介電材料可以是例如氧化矽、氮化矽、上述之多層結構或其類似材料,並可根據適當的技術進行沉積(如圖所示)或進行熱氧化(未繪示)。上述介電層的形成方法可包括分子束沉積(molecular-beam deposition;MBD)、原子層沉積(atomic layer deposition;ALD)、電漿輔助化學氣相沉積或其類似方法。
在上述介電層的上方形成一閘極層,而在上述閘極層的上方形成一罩幕層。可將上述閘極層沉積在上述介電層的上方,然後加以平坦化,例如藉由化學機械研磨。可將上述罩幕層沉積在上述閘極層的上方。可以以例如多晶矽來形成上述閘極層,但是亦可使用其他材料。可以以例如氮化矽或其類似材料來形成上述罩幕層。
在形成上述層(例如:上述介電層、上述閘極層及上述罩幕層)之後,可使用適當的光學微影及蝕刻技術來將上述罩幕層圖形化,以形成罩幕70。然後,藉由一適當的蝕刻技術,將罩幕70的圖形轉移至上述閘極層及上述介電層,以分別形成閘極68與閘極介電質66,而在一些實施例中,閘極68與閘極介電質66覆蓋半導體鰭狀物64的各自的通道區。在其他實施例中,將罩幕70轉移至上述閘極層以形成閘極68,但未轉移至上述介電層。換句話說,在一些實施例中,並未藉由罩幕70而將上述介電層圖形化,在這樣的情況可將上述介電層稱為閘極介電質66或稱為介電層66。後續的敘述會使用未藉由罩幕70而將上述介電層圖形化的例子,但是本發明實施例的原理亦可應用於藉由罩幕70而將上述介電層圖形化的實施例。閘極68所具有的縱向可實質上直交於對應的半導體鰭狀物64的縱向。如第5A圖所示,虛置閘極結構75A是形成在區域200中的鰭狀物64A的上方,而虛置閘極結構75B是形成在區域300中的鰭狀物64B的上方。
第5D與5E圖分別繪示沿著剖面線H-H與G-G的第5A圖中的鰭式場效電晶體裝置100的剖面圖。在這樣的剖面圖中,可能會看不到虛置閘極結構75A與75B。在第5E圖的例子中,與隔離區62的一上表面62U比較,界面63的延伸位置距離基底50的一主要上表面50U較遠。
第5F圖是沿著剖面線I-I的第5A圖中的鰭式場效電晶體裝置100的剖面圖。如第5F圖所示,虛置閘極結構75A是形成在區域200中的鰭狀物64A的上方,而虛置閘極結構75B是形成在區域300中的鰭狀物64B的上方。
第6A~6C、7A~7C、8A~8C、9A~9C、10A~10C、11A~11C與12A~12C圖繪示對示於第5A~5F圖的鰭式場效電晶體裝置100的進一步處理。特別是,第6A、7A、8A、9A、10A、11A與12A圖繪示沿著剖面線I-I(請參閱第5A圖)的鰭式場效電晶體裝置100在各個製造階段的對應的剖面圖;第6B、7B、8B、9B、10B、11B與12B圖繪示沿著剖面線H-H(請參閱第5A圖)的鰭式場效電晶體裝置100的對應的剖面圖;第6C、7C、8C、9C、10C、11C與12C圖繪示沿著剖面線G-G(請參閱第5A圖)的鰭式場效電晶體裝置100的對應的剖面圖。
接下來,如第6A~6C圖所示,在第5A~5F圖所示的結構的上方依序形成一第一間隔物層86與一第二間隔物層84。可以共形地(conformally)形成第一間隔物層86與第二間隔物層84。在一些實施例中,第一間隔物層86包括一低介電常數介電材料,因此可將其稱為一低介電常數間隔物層。第一間隔物層86可以以一適當的材料形成,例如氧碳化矽(silicon oxycarbide;SiOC)、氧碳氮化矽(silicon oxycarbonitride;SiOCN)或碳氮化矽(silicon carbonitride;SiCN)。第一間隔物層86的厚度可以在約2nm與約5nm之間的範圍。在一些實施例中,第二間隔物層84包括一富氮的介電材料。第二間隔物層84可以以一適當的材料形成,例如氮化矽(silicon nitride;SiN)或碳氮化矽(silicon carbonitride;SiCN)。第二間隔物層84的厚度可以在約3nm與約5nm之間的範圍。例如物理氣相沉積、化學氣相沉積、原子層沉積等任何適當的沉積方法可以用來形成第一間隔物層86與第二間隔物層84。
根據一些實施例,選擇第一間隔物層86的一第一材料,使其不同於第二間隔物層84的一第二材料,以在後續的製程中提供第一間隔物層86與第二間隔物層84之間的蝕刻選擇性。例如,當以SiN形成第二間隔物層84時,可以以SiOC、SiOCN或SiCN來形成第一間隔物層86。作為另一個例子,當以SiCN形成第二間隔物層84時,可以以SiOC或SiOCN來形成第一間隔物層86。
接下來,如第7A~7C圖所示,使用化學氣相沉積、物理氣相沉積、旋轉塗布法或其他適當的沉積方法,在第6A~6C圖所示的結構的上方,形成一罩幕層,其可以是例如光阻等的一光敏層。然後,使用例如光學微影及/或圖形化的技術,將上述罩幕層圖形化以形成一圖形化的罩幕88。如第7A~7C圖所示,圖形化的罩幕88覆蓋區域200但是曝露出區域300。因此,圖形化的罩幕88對區域提供遮罩,避免參酌第8A~8C與9A~9C圖而敘述的後續的蝕刻製程的侵襲。
接下來,如第8A~8C圖所示,施行一蝕刻製程以移除第二間隔物層84之在區域300的部分。在一些實施例中,用來移除部分的第二間隔物層84的上述蝕刻製程是一非等向性的蝕刻製程,例如一乾蝕刻製程。例如可施行使用一氧化碳(CO)、四氟甲烷(tetrafluoromethane;CF4 )、氧(O2 )、臭氧(O3 )或上述之組合的一電漿蝕刻製程,以移除在區域300(例如:一P型金屬─氧化物─半導體區域)中的曝露的第二間隔物層84。在一些實施例中,相對於第一間隔物層86,上述電漿蝕刻對第二間隔物層84具有高蝕刻選擇性(例如:具有較高的蝕刻速率)。在一些實施例中,用於上述電漿蝕刻的電漿(例如:CF4 電漿)與第二間隔物層84發生化學反應,以移除第二間隔物層84。在一些實施例中,上述非等向性的蝕刻製程移除了在鰭狀物64B(請參閱第8C圖)的一頂表面上方及複數個側壁上的第二間隔物層84,而曝露出在鰭狀物64B的頂表面的上方及側壁上的第一間隔物層86。上述非等向性的蝕刻製程可以亦移除在區域300中的第一間隔物層86的上部。如第8A圖所示,上述非等向性的蝕刻製程移除了在虛置閘極結構75B(例如:在罩幕70的上方)的頂表面的上方的第二間隔物層84的部分及第一間隔物層86的部分,而曝露出罩幕70。此外,可以將在鰭狀物64B的頂表面上方的第一間隔物層86薄化(請參閱第8A圖)或移除(未繪示)。
由於上述電漿蝕刻製程(例如:使用直流偏壓)的非等向性及/或由於在上述電漿蝕刻製程的過程中在虛置閘極結構75B的側壁上形成的副產物(例如:聚合物),在上述電漿蝕刻製程之後,如第8A圖所示,留下沿著虛置閘極結構75B的側壁之第二間隔物層84的部分(例如:留下的部分84R)(例如:由於上述電漿蝕刻製程的副產物所提供的保護)。第二間隔物層84之沿著虛置閘極結構75B的側壁的留下的部分84R,其優點在於保護第一間隔物層86之置於留下的部分84R與虛置閘極結構75B之間的部分,避免後續蝕刻的侵襲,而使第一間隔物層86之置於留下的部分84R與虛置閘極結構75B之間的部分在後續的蝕刻之後仍留下來,以作為虛置閘極結構75B的間隔物。
在一例示的實施例中,上述非等向性的蝕刻製程是一電漿蝕刻製程,其包括一第一電漿蝕刻步驟及其後的一第二電漿蝕刻步驟。上述第一電漿蝕刻步驟是使用四氟甲烷(tetrafluoromethane;CF4 )來施行,而上述第二電漿蝕刻步驟是使用氧(O2 )來施行。在一些實施例中,上述第一電漿蝕刻步驟可製造例如聚合物等的副產物,上述聚合物覆蓋虛置閘極結構75B的頂表面及側壁,因此其優點在於在上述第一電漿蝕刻步驟的期間減少或避免對虛置閘極結構75B造成損傷(例如:蝕刻上述虛置閘極結構的側壁)。在上述第一電漿蝕刻步驟之後,在上述第二電漿蝕刻步驟使用的O2 電漿移除由上述第一電漿蝕刻步驟製造的聚合物副產物。
在一些實施例中,上述電漿蝕刻製程的上述第一電漿蝕刻步驟與上述第二電漿蝕刻步驟是在相同的溫度且在相同的壓力之下施行。在一些實施例中,上述電漿蝕刻製程的溫度是在約30ºC與約65ºC之間的範圍,而上述電漿蝕刻製程的壓力是在約4mTorr(毫托;millitorr)與約50mTorr之間的範圍。在上述第一電漿蝕刻步驟中的CF4 的流量是在約100sccm(每分鐘標準立方公分;standard cubic centimeters per minute)與約200sccm之間的範圍。在上述第二電漿蝕刻步驟中的O2 的流量是在約100sccm與約200sccm之間的範圍。可使用例如氮、氬或其類似者等的載體氣體,以承載上述電漿。上述第一電漿蝕刻步驟的每個循環的施行時間,可以是在約5秒與約15秒之間的範圍內的期間。上述第二電漿蝕刻步驟的每個循環的施行時間,可以是在約5秒與約15秒之間的範圍內的期間。在上述第一電漿蝕刻步驟中的循環的次數與在上述第二電漿蝕刻步驟中的循環的次數,可能會依存於例如將被移除的間隔物層(例如:第二間隔物層84)的厚度。
接下來,如第9A~9C圖所示,施行另一個蝕刻製程,以移除第一間隔物層86之在區域300中的部分。在一些實施例中,用來移除第一間隔物層86的上述另一蝕刻製程是一溼蝕刻製程,例如使用一蝕刻劑的一化學蝕刻製程。上述蝕刻劑相對於第二間隔物層84,對第一間隔物層86具有高蝕刻選擇性(例如:具有較高的蝕刻速率)。因此,可以移除第一間隔物層86而不會實質上侵襲第二間隔物層84(例如:留下的部分84R)。例如,可施行使用稀釋的氫氟酸(diluted hydrofluoric acid;dHF)、過氧化氫(H2 O2 )、臭氧(O3 )、磷酸(H3 PO4 )、一標準清潔液(standard clean fluid)(其為包括去離子水(deionized water;DIW)、氫氧化銨(NH4 OH)及過氧化氫(H2 O2 )的混合物)或上述之組合的一溼蝕刻製程過氧化氫(H2 O2 ),以移除在區域300中的曝露的第一間隔物層86。在一些實施例中,上述溼蝕刻製程完全移除在區域300中的曝露的第一間隔物層86,而使鰭狀物64B的一頂表面64BU與鰭狀物64B的複數個側壁(例如:鰭狀物64B之高於隔離區62的上表面62U的側壁)在上述溼蝕刻製程之後曝露出來,如第9C圖所示。要注意的是,由於第二間隔物層84的留下的部分84R,第一間隔物層86之沿著虛置閘極結構75B的側壁的部分,在上述溼蝕刻製程之後留下來。
在一些實施例中,上述溼蝕刻製程包括依序施行的一第一步驟、一第二步驟、一第三步驟與一第四步驟。換句話說,上述溼蝕刻製程的上述第二步驟,是在上述溼蝕刻製程的第一步驟之後施行;上述溼蝕刻製程的上述第三步驟,是在上述溼蝕刻製程的第二步驟之後施行;以及上述溼蝕刻製程的上述第四步驟,是在上述溼蝕刻製程的第三步驟之後施行。特別是,使用包括過氧化氫(H2 O2 )與臭氧(O3 )的一混合物來施行上述第一步驟,使用稀釋的氫氟酸(diluted hydrofluoric acid;dHF)來施行上述第二步驟,使用磷酸(H3 PO4 )來施行上述第三步驟,使用一標準清潔液來施行該第四步驟,其中上述標準清潔液是包括去離子水(deionized water;DIW)、氫氧化銨(NH4 OH)與過氧化氫(H2 O2 )的一混合物。藉由施行如上所述的上述第一步驟、上述第二步驟、上述第三步驟與上述第四步驟,可以精確地控制第一間隔物層86的移除製程。
接下來,如第10A~10C圖所示,使用例如金屬有機化學氣相沉積(metal-organic chemical vapor deposition;MOCVD)、分子束磊晶(molecular beam epitaxy;MBE)、液相磊晶(liquid phase epitaxy;LPE)、氣相磊晶(vapor phase epitaxy;VPE)、選擇性磊晶成長(selective epitaxial growth;SEG)、其類似者或上述之組合等的適當的方法,在鰭狀物64B的曝露的頂表面64BU(請參閱第9C圖)的上方以及在鰭狀物64B的曝露的側壁上,形成複數個磊晶源極/汲極區80B。磊晶源極/汲極區80B可具有從鰭狀物64B的頂表面抬升的複數個表面且具有複數個刻面(facet)。如第10C圖所示,相鄰的鰭狀物64B的磊晶源極/汲極區80B合併,而形成一連續的磊晶源極/汲極區80B。在形成磊晶源極/汲極區80B之後,使用例如灰化的一適當的製程,移除圖形化的罩幕88。
雖然未繪示於第10A~10C圖,在形成磊晶源極/汲極區80B之前,可以在鰭狀物64B中形成輕摻雜汲極(light doped drain;LDD)區。可藉由一電漿摻雜製程來形成輕摻雜汲極區。上述電漿摻雜製程可將一對應形式的不純物(例如用於p型裝置的p型不純物)植入鰭狀物64B中,以形成輕摻雜汲極區。例如,圖形化的罩幕88可以在將p型不純物植入鰭狀物64B的輕摻雜汲極區之時,對區域200(例如:一N型金屬─氧化物─半導體區域)作遮蔽。
在一些實施例中,在區域300中所形成的鰭式場效電晶體是一p型的鰭式場效電晶體,磊晶源極/汲極區80B包括SiGe以及例如硼或銦的p型不純物。可以以摻雜物植入磊晶源極/汲極區80B之後,進行退火。磊晶源極/汲極區80B所具有的不純物(例如:摻雜物)濃度可以在約1E19cm-3 與約1E21cm-3 之間的範圍。在一些實施例中,磊晶源極/汲極區80B可以在成長的過程中被臨場摻雜。
磊晶源極/汲極區80B是形成在鰭狀物64B的曝露的頂表面64BU (請參閱第9C圖)的正上方以及鰭狀物64B的曝露的側壁的正上方。這與在後文參酌第11A~11C圖討論的形成磊晶源極/汲極區80A的製程不同。將藉由本發明實施例中的製程形成的磊晶源極/汲極區80B,稱為具有一披覆磊晶結構(cladding epitaxy structure)。
由於用於間隔物層的多層結構(例如:第一間隔物層86與第二間隔物層84)以及由於所揭露的特定的蝕刻製程(例如:如前述的乾蝕刻後接溼蝕刻),將鰭狀物64B的頂表面的上方及鰭狀物64B的側壁上的第一間隔物層86與第二間隔物層84完全移除。其結果,磊晶源極/汲極區80B具有大體積,且造成例如裝置性能的改善與裝置可靠度的改善,上述裝置性能例如為降低汲極引發的障蔽損耗(drain induced barrier loss;DIBL)、較大的導通電流(ON-current) Ion 、降低對於後續形成的源極/汲極接觸的接觸電阻等。此外,減低對虛置閘極結構75B與鰭狀物64B的損傷。例如,減低鰭狀物頂部損失、減低鰭狀物64B的的側壁的損傷(例如:蝕刻)以及較佳地控制鰭狀物64B的關鍵尺寸(critical dimension;CD)。作為另一個例子,由於在上述揭露的蝕刻製程之後,留下在虛置閘極結構75B的側壁上的第一間隔物層86的部分與第二間隔物層84的部分(例如:留下的部分84R),減少或避免對虛置閘極結構75B的損傷,且對虛置閘極結構75B的厚度作較佳控制。還有,本發明實施例揭露的結構與方法減低了隔離區62的內側部分(例如:在相鄰的鰭狀物64B之間的部分)與隔離區62的外側部分(例如:不在相鄰的鰭狀物64B之間的部分)之間的負載效應(loading effect)。例如,藉由使用本發明實施例揭露的用於間隔物層的多層結構以及特定的蝕刻製程,在隔離區62的上述內側部分的上表面與隔離區62的上述外側部分的上表面之間的距離,可以從25nm減少至5nm。
接下來,在第11A~11C圖中,使用例如一蝕刻製程等的一適當的製程,將區域200中的第二間隔物層84與區域300中的第二間隔物層84的留下的部分84R移除。可將例如磷酸(H3 PO4 )等的一適當的蝕刻劑,用於上述蝕刻製程。接下來,使用例如一等向性蝕刻製程,將區域200中的第一間隔物層86圖形化,以移除第一間隔物層86之在虛置閘極結構75A的頂表面上方的部分及在鰭狀物64A的頂表面上方的部分。可使用例如一圖形化的光阻等的一圖形化的罩幕層(未繪示)來覆蓋區域300,而將區域200中的第一間隔物層86圖形化。然後,使用例如灰化等的一適當的方法,移除上述圖形化的罩幕層。在如前述的第一間隔物層86的圖形化之後,第一間隔物層86的留下來的部分―例如沿著虛置閘極結構75A與75B的側壁的部分,將會作為對應的閘極結構的閘極間隔物(例如:低介電常數閘極間隔物)。因此,第一間隔物層86之沿著虛置閘極結構75A與75B的側壁的部分,可在後文稱為間隔物86。
接下來,雖然未繪示於圖式,可以在形成磊晶源極/汲極區80A之前,在鰭狀物64A中形成輕摻雜汲極區。可藉由電漿摻雜製程來形成輕摻雜汲極區。上述電漿摻雜製程可以在鰭狀物64A中植入例如n型不純物(用於n型裝置)的對應形式的不純物,以形成輕摻雜汲極區。例如,可形成一圖形化的罩幕層(未繪示),以對區域300(例如:一P型金屬─氧化物─半導體區域)作遮蔽,而將n型不純物植入鰭狀物64A的輕摻雜汲極區。在形成上述輕摻雜汲極區之後,移除上述圖形化的罩幕層。
接下來,在鰭狀物64A中形成磊晶源極/汲極區80A。磊晶源極/汲極區80A的形成是藉由對鰭狀物64A進行蝕刻(例如:蝕刻鰭狀物64A的範圍內的上述輕摻雜汲極區)以形成凹部,在使用例如金屬有機化學氣相沉積(metal-organic chemical vapor deposition;MOCVD)、分子束磊晶(molecular beam epitaxy;MBE)、液相磊晶(liquid phase epitaxy;LPE)、氣相磊晶(vapor phase epitaxy;VPE)、選擇性磊晶成長(selective epitaxial growth;SEG)、其類似者或上述之組合等的適當的方法,在上述凹槽中成長一材料。
如第11A圖所示,由於移除第二間隔物層84的留下的部分84R(請參閱第10A圖),可以在磊晶源極/汲極區80B與虛置閘極結構75B的間隔物86之間形成一間隙87(例如:未被佔用的空間)。例如,磊晶源極/汲極區80B可藉由間隙87而與間隔物86隔開。間隙87的寬度可以由第二間隔物層84的留下的部分84R的寬度所決定。例如,間隙87的寬度可以在約0nm與3nm之間,但是亦可以是其他尺寸。相反地,磊晶源極/汲極區80A則物理性地接觸虛置閘極結構75A的間隔物86,因此在一些實施例中,在磊晶源極/汲極區80A與虛置閘極結構75A的間隔物86之間沒有間隙。在一些實施例中,磊晶源極/汲極區80B與虛置閘極結構75B之間的距離大於磊晶源極/汲極區80A與虛置閘極結構75A之間的距離。
如第11B圖所示,在鰭狀物64A範圍中的上述輕摻雜汲極區的蝕刻使鰭狀物64A的一頂表面64AU下凹。在鰭狀物64A範圍中的上述輕摻雜汲極區的蝕刻可以亦移除介電層66之置於高於頂表面64AU之處的部分,如第11B圖所示;但是在其他實施例中,在鰭狀物64A範圍中的上述輕摻雜汲極區的蝕刻並未移除介電層66。在繪示於第11B圖的實施例中,被下凹的頂表面64AU高於隔離區62的上表面62U。在其他實施例中,鰭狀物64A的被下凹的頂表面可低於(例如:請參酌64AU’’)或齊平於(例如:請參酌64AU’)隔離區62的上表面62U。如第11B與11C圖所示,鰭狀物64B的一頂表面64BU的延伸處與隔離區62的上表面62U的距離,比鰭狀物64A的頂表面64AU/64AU’/64AU’’ 與隔離區62的上表面62U的距離還遠。在一些實施例中,頂表面64BU比被下凹的頂表面64AU/64AU’/64AU’’還高(例如:頂表面64BU的延伸處與隔離區62的上表面62U的距離比鰭狀物64A的頂表面64AU/64AU’/64AU’’ 與隔離區62的上表面62U的距離還遠)約18nm至約23nm。
請參考第11B圖,作為蝕刻鰭狀物64A的範圍內的上述輕摻雜汲極區的結果,先在第一間隔物層86之間的凹部成長磊晶源極/汲極區80A的下部。一旦將上述凹部填滿,則在鰭狀物64A的上方形成磊晶源極/汲極區80A的上部,而且可合併而形成連續的磊晶源極/汲極區80A。在一些實施例中,磊晶源極/汲極區80A的一最低表面80AL接觸鰭狀物64A的被下凹的頂表面64AU/64AU’/64AU’’,如第11B圖所示。相對於此,磊晶源極/汲極區80B的一最低表面80BL可低於鰭狀物64B的頂表面64BU,如第11C圖所示。在一些實施例中,磊晶源極/汲極區80A的最低表面80AL是低於磊晶源極/汲極區80B的最低表面80BL(例如:與磊晶源極/汲極區80B的最低表面80BL比較,磊晶源極/汲極區80A的最低表面80BL較接近基底50的主要上表面50U)。
如第11A與11B圖所示,磊晶源極/汲極區80A可具有從鰭狀物64A的對應的表面抬升的表面(例如:抬升至高於鰭狀物64A的未被下凹的部分),且可具有複數個刻面。相鄰的鰭狀物64A的磊晶源極/汲極區80A可合併以形成連續式的磊晶源極/汲極區80A。在一些實施例中,在區域200中所形成的鰭式場效電晶體是一n型的鰭式場效電晶體,而磊晶源極/汲極區80A包括碳化矽(SiC)、磷化矽(SiP)、摻磷的碳化矽(phosphorous-doped silicon carbon;SiCP)或其類似者。
可以以摻雜物植入磊晶源極/汲極區80A後,進行退火。磊晶源極/汲極區80A所具有的不純物(例如:摻雜物)的濃度可以在約1E19cm-3 至約1E21 cm-3 的範圍。在一些實施例中,上述磊晶源極/汲極區可以在成長的過程中被臨場摻雜。
接下來,如第12A~12C圖所示,在第11A~11B圖所示的結構的上方,(例如:共形地)形成一接觸蝕刻停止層(contact etching stop layer;CESL)105,且在其後,在接觸蝕刻停止層105的上方形成一第一層間介電層(interlayer dielectric;ILD)90。接觸蝕刻停止層105可包括任何適當的材料,例如TiN,且可藉由一適當的方法形成,例如物理氣相沉積、化學氣相沉積或其類似方法。在一些實施例中,是以例如氧化矽、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、非摻雜的矽玻璃(undoped silicate glass;USG)或其類似材料等的一介電材料來形成第一層間介電層90,且可以藉由例如化學氣相沉積、電漿輔助化學氣相沉積或可流動化學氣相沉積等的任何適當的方法來沉積第一層間介電層90。可施行例如一化學機械研磨等的一平坦化製程,將第一層間介電層90的頂表面平坦化,以使第一層間介電層90的頂表面齊平於閘極68的頂表面。可藉由上述化學機械研磨製程來移除在閘極68的上述上表面上方的罩幕70(請參閱第11A圖)、第一間隔物層86之在閘極68的上述上表面上方的部分及接觸蝕刻停止層105之在閘極68的上述上表面上方的部分。因此,在一些實施例中,在上述化學機械研磨製程之後,曝露出閘極68的頂表面。在第12B與12C圖的例子中,在磊晶源極/汲極區80A(或80B)與其下的隔離區62之前存在一空氣間隙(air gap)。
第13~16圖繪示沿著剖面線I-I之鰭式場效電晶體裝置100在更進一步的製程步驟的剖面圖。如第13圖所示,施行一後閘極製程(gate-last process)(有時稱之為一取代閘極製程)。在一後閘極製程中,閘極68與閘極介電質66(請參閱第12A)是被當作虛置結構而被移除,且以一主動閘極(亦稱為一取代閘極)與一主動閘極介電質加以取代。在一些實施例中,上述主動閘極為一金屬閘極。
請參考第13圖,在一或多道蝕刻製程中,將閘極68及其正下方的閘極介電質66移除,因此在對應的間隔物86之間形成複數個凹部(未繪示)。藉由連續地在上述凹部中形成一閘極介電質96、一阻障層94、一種子層92與一閘極電極98而填充上述凹部。
在一些實施例中,閘極介電質96是共形地形成在上述凹部中。閘極介電質96可包括二氧化矽。上述二氧化矽可藉由適當的氧化及/或沉積方法形成。在一些實施例中,閘極介電質96包括例如氧化鉿(HfO2 )等的一高介電常數介電層。作為替代氧化鉿的材料,上述高介電常數介電層可包括例如TiO2 、HfZrO、Ta2 O3 、HfSiO4 、ZrO2 、ZrSiO2 、上述之組合或其他適當的材料等的其他高介電常數介電質。上述高介電常數介電層可藉由原子層沉積、物理氣相沉積、化學氣相沉積或其他適當的方法形成。
接下來,在閘極介電質96的上方共形地形成一阻障層94。阻障層94可避免或減少一後續形成的閘極電極(例如:閘極電極98)的材料的向外擴散。阻障層94可包括例如氮化鈦等的一導體材料,但是例如氮化鉭、鈦、鉭或其類似材料等的其他材料亦可作為替代材料使用。阻障層94可使用例如電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)等的一化學氣相沉積製程來形成。然而,可替代使用例如濺鍍或金屬有機化學氣相沉積(metal-organic chemical vapor deposition;MOCVD)、原子層沉積等的其他替代性的製程。
接下來,在阻障層94的上方共形地形成一種子層92。種子層92可包括銅(Cu)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、其類似材料或上述之組合,且可藉由以下方法而沉積:原子層沉積(atomic layer deposition;ALD)、濺鍍(sputtering)、物理氣相沉積(physical vapor deposition;PVD)或其類似方法。在一些實施例中,種子層92是一金屬層,其可以是單層或是包括以不同材料形成的複數個子層(sub-layers)的一複合層。在一些實施例中,種子層92包括鉭層與在上述鉭層上方的銅層。
接下來,在種子層92的上方形成一導體材料,以填充上述凹部而形成閘極電極98。上述導體材料可包括鎢,但是可以替代性地使用例如鋁、銅、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅、上述之合金、上述之組合或其類似材料。可藉由電鍍、物理氣相沉積、化學氣相沉積或任何適當的沉積方法,來形成上述導體材料。可施行例如化學機械研磨等的一平坦化製程,以移除閘極介電質96、阻障層94、種子層92及閘極電極98的多餘的部分,例如閘極介電質96、阻障層94、種子層92及閘極電極98之沉積在第一層間介電層90的上表面的上方的部分,為其多餘的部分。在上述溝槽中之閘極介電質96、阻障層94、種子層92及閘極電極98的留下來的部分,則形成鰭式場效電晶體裝置100的取代閘極97。
接下來,請參考第14圖,在第一層間介電層90的上方沉積一第二層間介電層95。在一實施例中,第二層間介電層95是藉由一可流動的化學氣相沉積方法形成的一可流動的薄膜。在一些實施例中,是以例如磷矽玻璃、硼矽玻璃、硼磷矽玻璃、非摻雜的矽玻璃或其類似材料等的一介電材料來形成第二層間介電層95,且可以藉由例如化學氣相沉積或電漿輔助化學氣相沉積等的任何適當的方法來沉積第二層間介電層95。形成用於複數個接觸插塞102(請參閱第16圖)的接觸開口91與93,接觸開口91與93是穿過第一層間介電層90及/或第二層間介電層95。例如,將接觸開口91形成為穿透第二層間介電層95並曝露出取代閘極97,而將接觸開口93形成為穿透第一層間介電層90與第二層間介電層95並曝露出磊晶源極/汲極區80A/80B。
接下來,在第15圖中,在磊晶源極/汲極區80A/80B形成複數個矽化物區82,且在矽化物區82與第二層間介電層95的上方形成一阻障層104。在一些實施例中,矽化物區82的形成,是藉由在磊晶源極/汲極區80A/80B的上方沉積可以與半導體材料(例如:矽、鍺)反應的金屬,以形成複數個矽化物或鍺化物區。上述金屬可以是鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他過渡金屬、稀土族金屬或其合金。然後,施行一加熱退火製程,以使已沉積的金屬與磊晶源極/汲極區80A/80B反應而形成矽化物區82。在上述加熱退火製程之後,將未反應的金屬移除。
阻障層104是共形地沉積在矽化物區82的上方與第二層間介電層95的上方,並沿著接觸開口91/93的側壁及底部而成為襯墊層。阻障層104可包括例如鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或其類似材料等的一導電材料,並可使用電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)等的一化學氣相沉積製程而形成。然而,亦可使用例如濺鍍或金屬有機化學氣相沉積(metal-organic chemical vapor deposition;MOCVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)等的替代性製程。
接下來,在第16圖中,在阻障層104的上方形成一種子層109,並在種子層109的上方形成一導電材料110。可藉由物理氣相沉積、原子層沉積或化學氣相沉積,來沉積種子層104,並可以以鎢、銅或銅合金來形成種子層109,但是亦可替代性地使用其他適當的方法與材料。
一旦已形成種子層109,可將導電材料110形成到種子層109上,以填充接觸開口91/93。導電材料110可包括鎢,但是可以替代性地使用例如鋁、銅、氮化鎢、釕、銀、金、銠、鉬、鎳、鈷、鎘、鋅、上述之合金、上述之組合或其類似材料。可使用例如物理氣相沉積、化學氣相沉積、原子層沉積、鍍膜法(例如:電鍍)或重流法(reflow),來形成導電材料110。
一旦填充了接觸開口91/93,可經由例如化學機械研磨等的一平坦化製程,將在接觸開口91/93以外的多餘的阻障層104、種子層109及導電材料110移除,但是可使用任何適當的移除製程。因此,在接觸開口91與93中形成接觸插塞102。雖然將磊晶源極/汲極區80A/80B的上方的接觸插塞102及取代閘極97的上方的接觸插塞102繪示在第16圖的相同剖面,但是接觸插塞102可以在鰭式場效電晶體裝置100中的不同剖面。
第17圖是顯示根據一些實施例的一半導體裝置的形成方法的流程圖1000。要瞭解的是示於第17圖的方法實施例僅是許多可能的方法實施例的一範例。所屬技術領域中具有通常知識者會瞭解有許多變化、替代方案及改良方案。例如,如第17圖所示的各種步驟可以被增加、移除、取代、重新排列或重複進行。
請參考第17圖,在步驟1010,形成一第一鰭狀物,其突出於一基底的上方,上述第一鰭狀物具有一P型金屬─氧化物─半導體區域與一N型金屬─氧化物─半導體區域。在步驟1020,在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方形成一第一閘極結構。在步驟1030,在上述第一鰭狀物的上方與上述第一閘極結構的上方形成一第一間隔物層。在步驟1040,在上述第一間隔物層的上方形成一第二間隔物層。在步驟1050,施行一第一蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的一頂表面及複數個側壁移除上述第二間隔物層。在步驟1060,施行一第二蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁移除上述第一間隔物層。在步驟1070,在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方磊晶成長一第一源極/汲極材料,上述第一源極/汲極材料沿著上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁延伸。
本發明實施例可達成許多優點。所揭露的多層間隔物層結構具有第一間隔物層86與第二間隔物層84的結構,連同所揭露的蝕刻製程(例如:如前述的乾蝕刻後接溼蝕刻),將間隔物層(例如:第一間隔物層86與第二間隔物層84)從鰭狀物64B的頂表面及鰭狀物64B的側壁移除,而保留間隔物層之上述在虛置閘極結構75B的側壁上的部分。披覆的磊晶結構(例如:磊晶源極/汲極區80B)是形成在鰭狀物64B的頂表面及側壁上,且具有大體積,其造成例如裝置性能的改善與裝置可靠度的改善,上述裝置性能例如為降低汲極引發的障蔽損耗(drain induced barrier loss;DIBL)、較大的導通電流(ON-current) Ion 、降低接觸電阻等。此外,減低對虛置閘極結構75B與鰭狀物64B的損傷,其結果是對所形成的鰭式場效電晶體裝置的輪廓有較佳控制。還有,減低了隔離區62的內側部分與隔離區62的外側部分之間的負載效應。另一個優點是由於藉由本發明實施例所能完成的披覆的磊晶結構,而強化上述P型金屬─氧化物─半導體通道區的應變。
在一實施例中,一種半導體裝置的形成方法,包括:形成一第一鰭狀物,其突出於一基底的上方,上述第一鰭狀物具有一P型金屬─氧化物─半導體區域;在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方形成一第一閘極結構;在上述第一鰭狀物的上方與上述第一閘極結構的上方形成一第一間隔物層;在上述第一間隔物層的上方形成一第二間隔物層;施行一第一蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的一頂表面及複數個側壁移除上述第二間隔物層;施行一第二蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁移除上述第一間隔物層;以及在上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方磊晶成長一第一源極/汲極材料,上述第一源極/汲極材料沿著上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面及上述側壁延伸。在一實施例中,上述第一間隔物層與上述第二間隔物層是以不同的材料形成。在一實施例中,上述第一蝕刻製程的施行,包括施行一非等向性的蝕刻製程。在一實施例中,在施行上述第一蝕刻製程之後,曝露出上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面的上方與上述側壁上的上述第一間隔物層,且上述第二間隔物層的一留下的部分沿著上述第一閘極結構的複數個側壁延伸,且上述第一間隔物層是在上述第二間隔物層的上述留下的部分與上述第一閘極結構之間。在一實施例中,施行上述第二蝕刻製程而曝露出上述P型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面與上述側壁。在一實施例中,上述第一蝕刻製程的施行,包括施行一電漿蝕刻製程,其中上述電漿蝕刻製程包括一第一電漿蝕刻步驟與一第二電漿蝕刻步驟,其中使用四氟甲烷(tetrafluoromethane;CF4 )來施行上述第一電漿蝕刻步驟,使用氧(O2 )來施行上述第二電漿蝕刻步驟。在一實施例中,上述第二蝕刻製程的施行,包括施行一化學蝕刻製程,其中上述化學蝕刻製程包括依序施行的一第一步驟、一第二步驟、一第三步驟與一第四步驟。在一實施例中,使用包括過氧化氫(H2 O2 )與臭氧(O3 )的一混合物來施行上述第一步驟,使用稀釋的氫氟酸(diluted hydrofluoric acid;dHF)來施行上述第二步驟,使用磷酸(H3 PO4 )來施行上述第三步驟,使用包括去離子水(deionized water;DIW)、氫氧化銨(NH4 OH)與過氧化氫(H2 O2 )的一混合物來施行上述第四步驟。在一實施例中,上述第一鰭狀物還具有一N型金屬─氧化物─半導體區域,其中上述半導體裝置的形成方法更包括:在上述N型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方形成一第二閘極結構,其中上述第一間隔物層與上述第二間隔物層形成在上述第二閘極結構的上方;形成一圖形化的罩幕層,以在施行上述第一蝕刻製程之前覆蓋上述N型金屬─氧化物─半導體區域;以及在磊晶成長上述第一源極/汲極材料之後,移除上述圖形化的罩幕層。在一實施例中,上述半導體裝置的形成方法,更包括:在磊晶成長上述第一源極/汲極材料之後,移除在上述P型金屬─氧化物─半導體區域與上述N型金屬─氧化物─半導體區域中的上述第二間隔物層的留下的部分;以及在上述N型金屬─氧化物─半導體區域中的上述第一鰭狀物的上方磊晶成長一第二源極/汲極材料。在一實施例中,磊晶成長上述第二源極/汲極材料,包括:移除上述第一間隔物層的一部分,以曝露在上述N型金屬─氧化物─半導體區域中的上述第一鰭狀物的一頂表面;將上述N型金屬─氧化物─半導體區域中的上述第一鰭狀物的上述頂表面凹下;以及在上述N型金屬─氧化物─半導體區域中的上述第一鰭狀物的凹下的頂表面的上方,磊晶成長上述第二源極/汲極材料。
在一實施例中,一種半導體裝置的形成方法,包括:形成一鰭狀物,其突出於一基底的上方,上述鰭狀物具有一P型金屬─氧化物─半導體區域與一N型金屬─氧化物─半導體區域;在上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上方形成一第一閘極;在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上方形成一第二閘極;在上述鰭狀物的上方、上述第一閘極的上方及上述第二閘極的上方形成一第一間隔物層;在上述第一間隔物層的上方形成一第二間隔物層,上述第二間隔物層不同於上述第一間隔物層;形成一圖形化的罩幕層以覆蓋上述N型金屬─氧化物─半導體區域但使上述P型金屬─氧化物─半導體區域曝露;以及在形成上述圖形化的罩幕層之後:施行一第一蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述鰭狀物的一頂表面及複數個側壁移除上述第二間隔物層;施行一第二蝕刻製程以從上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁移除上述第一間隔物層,藉此曝露出上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁;及沿著上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述頂表面及上述側壁,磊晶成長一第一源極/汲極材料。在一實施例中,使用選自基本上由氧碳化矽、氧碳氮化矽與碳氮化矽所組成之族群的一材料來形成上述第一間隔物層,且其中使用選自基本上由氮化矽與碳氮化矽所組成之族群的一材料來形成上述第二間隔物層。在一實施例中,上述第一蝕刻製程包括一乾蝕刻製程,且上述第二蝕刻製程包括一溼蝕刻製程。在一實施例中,施行上述第一蝕刻製程包括使用一氧化碳、四氟甲烷、氧或臭氧的一電漿蝕刻製程。在一實施例中,上述之半導體裝置的形成方法,更包括:在磊晶成長上述第一源極/汲極材料之後,移除上述圖形化的罩幕層;將上述N型金屬─氧化物─半導體區域中的上述鰭狀物的一頂表面凹下;以及在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的凹下的頂表面的上方,磊晶成長一第二源極/汲極材料。
在一實施例中,一種半導體裝置,包括:一鰭狀物,其突出於一基底的上方,上述鰭狀物具有一第一部分與一第二部分,上述第一部分在一P型金屬─氧化物─半導體區域中,上述第二部分在一N型金屬─氧化物─半導體區域中;一第一閘極結構,在上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述第一部分的上方;一第二閘極結構,在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上述第二部分的上方;複數個第一磊晶源極/汲極區,在上述第一閘極結構的二側且在上述鰭狀物的上述第一部分的上方,上述第一磊晶源極/汲極區在上述P型金屬─氧化物─半導體區域中且沿著上述鰭狀物的上述第一部分的一第一上表面及複數個第一側壁延伸;以及複數個第二磊晶源極/汲極區,在上述第二閘極結構的二側且在上述鰭狀物的上述第二部分的上方,上述第二磊晶源極/汲極區在上述N型金屬─氧化物─半導體區域中且在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上述第二部分的一第二上表面的上方。在一實施例中,上述半導體裝置更包括複數個第一間隔物,上述第一間隔物在上述N型金屬─氧化物─半導體區域中的上述鰭狀物的上述第二部分的二側的側壁上,且上述P型金屬─氧化物─半導體區域中的上述鰭狀物的上述一部分的二側的側壁不具上述第一間隔物。在一實施例中,與上述鰭狀物的上述第二部分的上述第二上表面相比,上述鰭狀物的上述第一部分的上述第一上表面,在距離上述基底較遠之處延伸。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
30‧‧‧鰭式場效電晶體 50、51‧‧‧基底 50A、50B‧‧‧半導體材料 50U‧‧‧主要上表面 52‧‧‧圖形化的墊氧化物層 56‧‧‧圖形化的墊氮化物層 58、88‧‧‧圖形化的罩幕 61‧‧‧溝槽 62‧‧‧隔離區 62U‧‧‧上表面 63、63’‧‧‧界面 64‧‧‧(半導體)鰭狀物 64A、64B‧‧‧鰭狀物(部分) 64AU、64AU’、64AU’’、64BU‧‧‧頂表面 66‧‧‧閘極介電質(介電層) 68‧‧‧閘極 70‧‧‧罩幕 75、75A、75B‧‧‧虛置閘極結構 80‧‧‧源極/汲極區 80A、80B‧‧‧磊晶源極/汲極區 80AL、80BL‧‧‧最低表面 82‧‧‧矽化物區 84‧‧‧第二間隔物層 84R‧‧‧留下的部分 86‧‧‧第一間隔物層(間隔物) 87‧‧‧間隙 90‧‧‧第一層間介電層 91、93‧‧‧接觸開口 92、109‧‧‧種子層 94、104‧‧‧阻障層 95‧‧‧第二層間介電層 96‧‧‧閘極介電質 97‧‧‧取代閘極 98‧‧‧閘極電極 100‧‧‧鰭式場效電晶體裝置 102‧‧‧接觸插塞 105‧‧‧接觸蝕刻停止層 110‧‧‧導電材料 200、300‧‧‧區域 1000‧‧‧流程圖 1010、1020、1030、1040、1050、1060、1070‧‧‧步驟
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是一透視圖,顯示根據一些實施例的一鰭式場效電晶體。 第2圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的平面圖。 第3A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的平面圖。 第3B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第4A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的平面圖。 第4B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第4C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第5A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的平面圖。 第5B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第5C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第5D圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第5E圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第5F圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第6A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第6B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第6C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第7A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第7B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第7C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第8A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第8B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第8C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第9A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第9B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第9C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第10A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第10B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第10C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第11A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第11B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第11C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第12A圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第12B圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第12C圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第13圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第14圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第15圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第16圖是根據一些實施例的一鰭式場效電晶體裝置在一製造階段的剖面圖。 第17圖是顯示一半導體裝置的形成方法的流程圖。
50‧‧‧基底
64A、64B‧‧‧鰭狀物(部分)
80A、80B‧‧‧磊晶源極/汲極區
82‧‧‧矽化物區
86‧‧‧第一間隔物層(間隔物)
90‧‧‧第一層間介電層
95‧‧‧第二層間介電層
100‧‧‧鰭式場效電晶體裝置
102‧‧‧接觸插塞
104‧‧‧阻障層
105‧‧‧接觸蝕刻停止層
109‧‧‧種子層
110‧‧‧導電材料
200、300‧‧‧區域

Claims (14)

  1. 一種半導體裝置的形成方法,包括:形成一第一鰭狀物,其突出於一基底的上方,該第一鰭狀物具有一P型金屬-氧化物-半導體區域及一N型金屬-氧化物-半導體區域;在該P型金屬-氧化物-半導體區域中的該第一鰭狀物的上方形成一第一閘極結構;在該第一鰭狀物的上方與該第一閘極結構的上方形成一第一間隔物層;在該第一間隔物層的上方形成一第二間隔物層;在該N型金屬-氧化物-半導體區域中的該第一鰭狀物的上方形成一第二閘極結構,其中該第一間隔物層與該第二間隔物層形成在該第二閘極結構的上方;施行一第一蝕刻製程以從該P型金屬-氧化物-半導體區域中的該第一鰭狀物的一頂表面及複數個側壁移除該第二間隔物層;施行一第二蝕刻製程以從該P型金屬-氧化物-半導體區域中的該第一鰭狀物的該頂表面及該些側壁移除該第一間隔物層;以及在該P型金屬-氧化物-半導體區域中的該第一鰭狀物的上方磊晶成長一第一源極/汲極材料,該第一源極/汲極材料沿著該P型金屬-氧化物-半導體區域中的該第一鰭狀物的該頂表面及該些側壁延伸,其中該第一間隔物層在該N型金屬-氧化物-半導體區域中的該第一鰭狀物的該些側壁上,且該P型金屬-氧化物-半導體區域中的該第一鰭狀物的該些側壁不具該第一間隔物層。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一蝕刻製程的施行,包括施行一非等向性的蝕刻製程。
  3. 如申請專利範圍第2項所述之半導體裝置的形成方法,其中在施行該第一蝕刻製程之後,曝露出該P型金屬-氧化物-半導體區域中的該第一鰭 狀物的該頂表面的上方與該些側壁上的該第一間隔物層,且該第二間隔物層的一留下的部分沿著該第一閘極結構的複數個側壁延伸,且該第一間隔物層是在該第二間隔物層的該留下的部分與該第一閘極結構之間。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中施行該第二蝕刻製程而曝露出該P型金屬-氧化物-半導體區域中的該第一鰭狀物的該頂表面與該些側壁。
  5. 如申請專利範圍第1至4項任一項所述之半導體裝置的形成方法,其中該第二蝕刻製程的施行,包括施行一化學蝕刻製程,其中該化學蝕刻製程包括依序施行的一第一步驟、一第二步驟、一第三步驟與一第四步驟。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,其中使用包括過氧化氫(H2O2)與臭氧(O3)的一混合物來施行該第一步驟,使用稀釋的氫氟酸(diluted hydrofluoric acid;dHF)來施行該第二步驟,使用磷酸(H3PO4)來施行該第三步驟,使用包括去離子水(deionized water;DIW)、氫氧化銨(NH4OH)與過氧化氫(H2O2)的一混合物來施行該第四步驟。
  7. 如申請專利範圍第1至4項任一項所述之半導體裝置的形成方法,其中該半導體裝置的形成方法更包括:形成一圖形化的罩幕層,以在施行該第一蝕刻製程之前覆蓋該N型金屬-氧化物-半導體區域;以及在磊晶成長該第一源極/汲極材料之後,移除該圖形化的罩幕層。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,更包括:在磊晶成長該第一源極/汲極材料之後,移除在該P型金屬-氧化物-半導體區域與該N型金屬-氧化物-半導體區域中的該第二間隔物層的留下的部分;以及在該N型金屬-氧化物-半導體區域中的該第一鰭狀物的上方磊晶成長一第 二源極/汲極材料。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中磊晶成長該第二源極/汲極材料,包括:移除該第一間隔物層的一部分,以曝露在該N型金屬-氧化物-半導體區域中的該第一鰭狀物的一頂表面;將該N型金屬-氧化物-半導體區域中的該第一鰭狀物的該頂表面凹下;以及在該N型金屬-氧化物-半導體區域中的該第一鰭狀物的凹下的頂表面的上方,磊晶成長該第二源極/汲極材料。
  10. 一種半導體裝置的形成方法,包括:形成一鰭狀物,其突出於一基底的上方,該鰭狀物具有一P型金屬-氧化物-半導體區域與一N型金屬-氧化物-半導體區域;在該P型金屬-氧化物-半導體區域中的該鰭狀物的上方形成一第一閘極;在該N型金屬-氧化物-半導體區域中的該鰭狀物的上方形成一第二閘極;在該鰭狀物的上方、該第一閘極的上方及該第二閘極的上方形成一第一間隔物層;在該第一間隔物層的上方形成一第二間隔物層,該第二間隔物層不同於該第一間隔物層;形成一圖形化的罩幕層以覆蓋該N型金屬-氧化物-半導體區域但使該P型金屬-氧化物-半導體區域曝露;以及在形成該圖形化的罩幕層之後,施行一第一蝕刻製程以從該P型金屬-氧化物-半導體區域中的該鰭狀物的一頂表面及複數個側壁移除該第二間隔物層;施行一第二蝕刻製程以從該P型金屬-氧化物-半導體區域中的該鰭狀物的 該頂表面及該些側壁移除該第一間隔物層,藉此曝露出該P型金屬-氧化物-半導體區域中的該鰭狀物的該頂表面及該些側壁;以及沿著該P型金屬-氧化物-半導體區域中的該鰭狀物的該頂表面及該些側壁,磊晶成長一第一源極/汲極材料,其中該第一間隔物層在該N型金屬-氧化物-半導體區域中的該鰭狀物的該些側壁上,且該P型金屬-氧化物-半導體區域中的該鰭狀物的該些側壁不具該第一間隔物層。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第一蝕刻製程包括一乾蝕刻製程,且該第二蝕刻製程包括一溼蝕刻製程。
  12. 如申請專利範圍第10或11項所述之半導體裝置的形成方法,其中施行該第一蝕刻製程包括使用一氧化碳、四氟甲烷、氧或臭氧的一電漿蝕刻製程。
  13. 一種半導體裝置,包括:一鰭狀物,其突出於一基底的上方,該鰭狀物具有一第一部分與一第二部分,該第一部分在一P型金屬-氧化物-半導體區域中,該第二部分在一N型金屬-氧化物-半導體區域中;一第一閘極結構,在該P型金屬-氧化物-半導體區域中的該鰭狀物的該第一部分的上方;一第二閘極結構,在該N型金屬-氧化物-半導體區域中的該鰭狀物的該第二部分的上方;複數個第一磊晶源極/汲極區,在該第一閘極結構的二側且在該鰭狀物的該第一部分的上方,該些第一磊晶源極/汲極區在該P型金屬-氧化物-半導體區域中且沿著該鰭狀物的該第一部分的一第一上表面及複數個第一側壁延伸;複數個第二磊晶源極/汲極區,在該第二閘極結構的二側且在該鰭狀物的該 第二部分的上方,該些第二磊晶源極/汲極區在該N型金屬-氧化物-半導體區域中且在該N型金屬-氧化物-半導體區域中的該鰭狀物的該第二部分的一第二上表面的上方;以及複數個第一間隔物,該些第一間隔物在該N型金屬-氧化物-半導體區域中的該鰭狀物的該第二部分的二側的側壁上,且該P型金屬-氧化物-半導體區域中的該鰭狀物的該第一部分的二側的側壁不具該些第一間隔物。
  14. 如申請專利範圍第13項所述之半導體裝置,其中該些第二磊晶源極/汲極區的一最低表面接觸在該N型金屬-氧化物-半導體區域中的該鰭狀物的該第二部分的該第二上表面。
TW107142233A 2017-11-30 2018-11-27 半導體裝置及其形成方法 TWI698931B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592871P 2017-11-30 2017-11-30
US62/592,871 2017-11-30
US15/967,295 US10340384B2 (en) 2017-11-30 2018-04-30 Method of manufacturing fin field-effect transistor device
US15/967,295 2018-04-30

Publications (2)

Publication Number Publication Date
TW201935570A TW201935570A (zh) 2019-09-01
TWI698931B true TWI698931B (zh) 2020-07-11

Family

ID=66632695

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142233A TWI698931B (zh) 2017-11-30 2018-11-27 半導體裝置及其形成方法

Country Status (4)

Country Link
US (3) US10340384B2 (zh)
KR (1) KR102218560B1 (zh)
CN (1) CN110010470B (zh)
TW (1) TWI698931B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11101366B2 (en) * 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US11329140B2 (en) * 2020-01-17 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102020132562B4 (de) * 2020-01-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
DE102020123264B4 (de) * 2020-03-30 2022-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleitervorrichtung und Verfahren zu dessen Herstellung
US11824099B2 (en) * 2020-06-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drains in semiconductor devices and methods of forming thereof
US11984478B2 (en) * 2020-08-14 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Forming source and drain features in semiconductor devices
US11532520B2 (en) * 2020-08-14 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220030455A (ko) 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170309624A1 (en) * 2016-04-25 2017-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070101058A (ko) * 2006-04-10 2007-10-16 삼성전자주식회사 핀 전계 효과 트랜지스터의 형성 방법
KR100827443B1 (ko) * 2006-10-11 2008-05-06 삼성전자주식회사 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법
US8049276B2 (en) * 2009-06-12 2011-11-01 Fairchild Semiconductor Corporation Reduced process sensitivity of electrode-semiconductor rectifiers
US8937353B2 (en) * 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8946829B2 (en) * 2011-10-14 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Selective fin-shaping process using plasma doping and etching for 3-dimensional transistor applications
US8623716B2 (en) * 2011-11-03 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor devices and methods of forming the same
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8486770B1 (en) * 2011-12-30 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming CMOS FinFET device
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9018713B2 (en) * 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
CN103681505A (zh) * 2012-09-20 2014-03-26 中芯国际集成电路制造(上海)有限公司 一种源漏双外延层的形成方法
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9209302B2 (en) * 2013-03-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing the heights of source-drain sidewall spacers of FinFETs through etching
CN104347508B (zh) * 2013-07-24 2017-05-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9178045B2 (en) 2013-09-27 2015-11-03 Samsung Electronics Co., Ltd. Integrated circuit devices including FinFETS and methods of forming the same
US9837440B2 (en) * 2014-02-07 2017-12-05 International Business Machines Corporation FinFET device with abrupt junctions
US9620621B2 (en) 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
US10153372B2 (en) * 2014-03-27 2018-12-11 Intel Corporation High mobility strained channels for fin-based NMOS transistors
CN105336787B (zh) * 2014-08-15 2019-07-02 中国科学院微电子研究所 半导体器件及其制造方法
KR102394938B1 (ko) * 2015-05-21 2022-05-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10008574B2 (en) * 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
US9443855B1 (en) * 2015-12-15 2016-09-13 International Business Machines Corporation Spacer formation on semiconductor device
US9818846B2 (en) * 2016-01-21 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively deposited spacer film for metal gate sidewall protection
US9972537B2 (en) * 2016-02-24 2018-05-15 Globalfoundries Inc. Methods of forming graphene contacts on source/drain regions of FinFET devices
US10355131B2 (en) * 2016-05-17 2019-07-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170309624A1 (en) * 2016-04-25 2017-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods of forming finfets

Also Published As

Publication number Publication date
US20190165156A1 (en) 2019-05-30
US10340384B2 (en) 2019-07-02
US10797175B2 (en) 2020-10-06
US11450772B2 (en) 2022-09-20
CN110010470A (zh) 2019-07-12
US20190305125A1 (en) 2019-10-03
KR102218560B1 (ko) 2021-02-23
US20210020772A1 (en) 2021-01-21
CN110010470B (zh) 2023-08-25
TW201935570A (zh) 2019-09-01
KR20190064506A (ko) 2019-06-10

Similar Documents

Publication Publication Date Title
TWI698931B (zh) 半導體裝置及其形成方法
KR101745166B1 (ko) 사전 퇴적 처리와 원자층 증착(ald)공정 및 이에 의해 형성된 구조물들
TWI765321B (zh) 半導體裝置及其形成方法
CN109427595B (zh) 鳍型场效晶体管装置及其形成方法
TWI739147B (zh) 半導體裝置及其形成方法
US11195717B2 (en) Semiconductor device and method
KR102284473B1 (ko) 반도체 디바이스 및 방법
KR102527509B1 (ko) 게이트 콘택 개구의 에칭 프로파일 제어
US20230298934A1 (en) Etch profile control of gate contact opening
TWI806103B (zh) 形成半導體裝置的方法
TWI806113B (zh) 製造半導體裝置的方法和半導體裝置
KR102638127B1 (ko) 비아 개구의 에칭 프로파일 제어
TWI662602B (zh) 半導體裝置及其形成方法
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
US11942371B2 (en) Etch profile control of via opening
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법