TWI695903B - 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法 - Google Patents

經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法 Download PDF

Info

Publication number
TWI695903B
TWI695903B TW105112481A TW105112481A TWI695903B TW I695903 B TWI695903 B TW I695903B TW 105112481 A TW105112481 A TW 105112481A TW 105112481 A TW105112481 A TW 105112481A TW I695903 B TWI695903 B TW I695903B
Authority
TW
Taiwan
Prior art keywords
substrate
silicon
gas
layer
metal silicide
Prior art date
Application number
TW105112481A
Other languages
English (en)
Other versions
TW201702417A (zh
Inventor
沙謝德利 甘古利
楊逸雄
布山N 羅佩
新宇 傅
艾夫傑尼諾斯V 傑拉多斯
蹇國強
鄭波
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201702417A publication Critical patent/TW201702417A/zh
Application granted granted Critical
Publication of TWI695903B publication Critical patent/TWI695903B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文中提供選擇性沉積金屬矽化物層的方法。在一些實施例中,一種選擇性沉積金屬矽化物層的方法包括以下步驟:(a)提供具有第一層的基板到處理腔室,其中該第一層包含第一表面和形成在該第一表面中的特徵,該特徵包含開口,該開口係由一個或更多個側壁和底表面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者;(b)使該基板暴露於前驅物氣體,該前驅物氣體包含金屬鹵化物;(c)使用惰性氣體淨化該處理腔室中的該前驅物氣體;(d)使該基板暴露於含矽氣體;(e)使用惰性氣體淨化該處理腔室中的該含矽氣體;(f)重複(b)-(e)以沿著該底表面選擇性沉積金屬矽化物到預定厚度;以及(g)沉積該金屬矽化物層之後將該基板進行退火。

Description

經由原子層沉積(ALD)循環之選擇性沉積金屬矽化物的方法
本揭示之實施例大體而言係關於經由原子層沉積循環選擇性沉積金屬矽化物層的方法。
邏輯接觸結構的形成通常涉及在特徵的底部形成金屬矽化物。本發明人已觀察到,當在狹窄的特徵(例如10 nm或更小)內形成觸點時,金屬可能會沿著特徵的側壁以及在特徵的底部沉積。沿著側壁形成的金屬減少了可被沉積在特徵內的導電填充材料之量,導致不良的接觸電阻增加。
因此,本發明人開發了改良的技術來經由原子層沉積循環選擇性地沉積金屬矽化物層。
本文中提供選擇性沉積金屬矽化物層的方法。在一些實施例中,一種選擇性沉積金屬矽化物層的方法包括以下步驟:(a)提供具有第一層的基板到處理腔室,其中該第一層包含第一表面和形成在該第一表面中的特徵,該特徵包含開口,該開口係由一個或更多個側壁和底表面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者;(b)使該基板暴露於前驅物氣體,該前驅物氣體包含金屬鹵化物;(c)使用惰性氣體淨化該處理腔室中的該前驅物氣體;(d)使該基板暴露於含矽氣體;(e)使用惰性氣體淨化該處理腔室中的該含矽氣體;(f)重複(b)-(e)以沿著該底表面選擇性沉積金屬矽化物到預定厚度;以及(g)沉積該金屬矽化物層之後將該基板進行退火。
在一些實施例中,一種選擇性沉積金屬矽化物層的方法包括以下步驟:(a)提供具有第一層的基板到處理腔室,其中該第一層包含第一表面和形成在該第一表面中的特徵,該特徵包含開口,該開口具有小於約10 nm的寬度並由一個或更多個側壁和底表面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者;(b)使該基板暴露於前驅物氣體,該前驅物氣體包含金屬鹵化物;(c)使用惰性氣體淨化該處理腔室中的該前驅物氣體;(d)使該基板暴露於含矽氣體;(e)使用惰性氣體淨化該處理腔室中的該含矽氣體;(f)重複(b)-(e)以沿著該底表面選擇性沉積金屬矽化物到預定厚度;(g)沉積該金屬矽化物層之後將該基板進行退火持續約1毫秒至約1分鐘;(h)在沉積該金屬矽化物層及將該金屬矽化物層退火之後在該特徵內沉積覆蓋層;(i)在該覆蓋層的頂上沉積襯墊層;以及(j)在該襯墊層的頂上沉積一導電填充材料以大體上填滿該特徵。
在一些實施例中,本文中提供一種電腦可讀媒體,該電腦可讀媒體具有儲存在其上的指令,當該指令被執行時,該指令使處理腔室進行選擇性沉積金屬矽化物層的方法。該方法可以包括本文中揭示的任一實施例。
以下描述本揭示的其他和進一步的實施例。
本文中提供用於選擇性沉積金屬矽化物層的方法。本發明的方法有利地促進在特徵的底部選擇性沉積金屬矽化物層(例如觸點),而不沿著側壁沉積(或只到遠小得多的程度)。藉由沿著特徵的底部選擇性沉積金屬矽化物層,該金屬矽化物層佔用特徵中較小的空間。特徵中較少的金屬矽化物量有利地導致電阻改善,因為特徵中有較大量的導電填充材料。本發明的方法可被用於在積體電路中形成金屬互連件、或用於形成金屬閘極或金屬觸點縫隙填充製程、以及其他利用導電材料填充特徵的適當應用。
第1圖繪示依據本揭示的一些實施例選擇性沉積金屬矽化物層的方法100之流程圖。以下就第2A-2C圖所描繪選擇性沉積金屬矽化物層的各個階段來描述方法100,而且方法100可以例如在適當的反應器中進行,例如以下就第3圖所述。
方法100藉由提供基板到基板處理室的處理容積而開始於步驟102,該基板例如基板200,該基板處理室例如以下就第3圖描述的處理腔室302。在一些實施例中,如第2A圖所圖示,基板200包括第一層212,第一層212具有第一表面213與一個或更多個特徵202,一個或更多個特徵202被形成在基板200的第一表面213中並朝向基板200的基部204延伸。在一些實施例中,特徵202可以被部分蝕刻到基板200的基部204中。基板200可以是具有一個或更多個形成在基板200中的特徵202的任何適當基板。例如,基板200可以包含矽(Si)、鍺、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或未圖案化的晶圓絕緣體上矽(SOI)、摻雜的矽、或類似物中之一者或更多者。基板200可以具有各種尺寸,例如200 mm、300 mm或450 mm直徑的晶圓或其他的尺寸。在一些實施例中,基板200包含矽或鍺中之至少一者。在一些實施例中,第一層212包含氧化矽(SiOx)或氮化矽(SiN)。此外,基板200可以包括附加的材料層,或者可以具有一個或更多個形成在基板200中或上的完成的或部分完成的結構或元件。
特徵202可以藉由使用任何適當的蝕刻製程蝕刻基板200來形成。在一些實施例中,特徵202包含由一個或更多個側壁214和底表面206界定的開口218。在一些實施例中,特徵202可以是通孔、觸點、溝槽、雙鑲嵌、或類似物。在一些實施例中,開口218可以具有小於約10 nm的寬度。特徵202被形成在基板200中或在位於基板頂上的層中,使得底表面206的材料不同於一個或更多個側壁214的主要表面。例如,特徵202可以是被形成在第一層212中的開口218,開口218延伸地足夠深,使得底表面206是由基板200所形成。在一些實施例中,基板200(及因此底表面206)包含矽、鍺、或矽鍺,並且第一層212(及因此一個或更多個側壁214)包含氧化矽或氮化矽。
接著在步驟104,如第2B圖所描繪,使基板200暴露於包含金屬鹵化物(例如金屬氯化物)的前驅物氣體作為製程的一部分,以在特徵202的底表面206、但不在特徵202的側壁214上選擇性沉積金屬矽化物層220,或只有極少沉積在側壁214上。選擇性沉積在底表面206上意指材料被以比在一個或更多個側壁214上更大的速率沉積在底表面206上,或是在一些實施例中,被以比在一個或更多個側壁214上遠更大的速率(例如至少大一個數量級)沉積在底表面206上。在一些實施例中,沒有材料被沉積在側壁214上。然而,雖然主要的材料量被沉積在底表面206上,但一些沉積仍可以出現在一個或更多個側壁214上。藉由主要或單獨地沿著特徵的底部選擇性沉積金屬矽化物層,金屬矽化物層在特徵中佔用的空間比假使有類似量的金屬矽化物沿著該一個或更多個側壁沉積時更小。特徵中的金屬矽化物量較少有助於在特徵中沉積較大量的導電填充材料,從而有利於提供改良的電阻。
金屬矽化物層包含鉭、鉿、鋯、或鎢。在一些實施例中,金屬鹵化物是任何用以沉積包含鉭、鉿、鋯、或鎢中之一者的金屬矽化物層的適當金屬鹵化物。在一些實施例中,金屬鹵化物是金屬氯化物,例如五氯化鉭(TaCl5 )、四氯化鉿(HfCl4 )、四氯化鋯(ZrCl4 )、六氯化鎢(WCl6)、或五氯化鎢(WCl5)。在一些實施例中,前驅物氣體進一步包含惰性氣體,例如氬氣、氦氣、或類似物。在一些實施例中,前驅物氣體可被以高達約500sccm的流動速率提供。在一些實施例中,處理腔室的溫度為約攝氏350度至約攝氏550度。在一些實施例中,在暴露於前驅物氣體期間可將處理腔室的壓力保持在約2托至約15托。在一些實施例中,可使基板暴露於前驅物氣體持續約1至約10秒。
在一些實施例中,在使基板200暴露於前驅物氣體之前,使基板暴露於清洗製程以移除例如特徵202的底表面206上的原生氧化物層。在一些實施例中,使基板暴露於遠端NH3/NF3電漿,以藉由形成在室溫下容易與Si-O或Si-N鍵反應、但不與Si-Si鍵反應的NH4F來提供高選擇性的SiO2/Si移除。當被充分加熱時,可以使聚合物NH4F-SiO2昇華並被蝕刻掉。
接著,在步驟106,淨化處理腔室的處理容積中的前驅物氣體。在一些實施例中,使用任何適當的惰性氣體淨化前驅物氣體,該惰性氣體例如氬氣、氙氣、氦氣、或類似的氣體。
接著,在步驟108,使基板200暴露於含矽氣體,該含矽氣體包含矽烷(SiH4)或矽烷的衍生物(例如乙矽烷、丙矽烷、丁矽烷、氯矽烷、二氯矽烷、四氯矽烷、六氯乙矽烷、甲基矽烷、或類似物)。在一些實施例中,含矽氣體可被以高達約500sccm的流動速率 提供。在一些實施例中,可以將處理腔室的溫度保持在約攝氏350度至約攝氏550度。在一些實施例中,在暴露於含矽氣體期間處理腔室的壓力可為約2托至約15托。在一些實施例中,可以使基板暴露於含矽氣體持續約0.5至約5秒。本發明人已經觀察到,在前驅物氣體是金屬氯化物時,上述的矽烷或矽烷衍生物與氯反應。
接著,在步驟110,淨化處理腔室的處理容積中的含矽氣體。在一些實施例中,使用任何適當的惰性氣體淨化含矽氣體,該惰性氣體例如氬氣、氙氣、氦氣、或類似的氣體。使基板交替地暴露於金屬鹵化物與含矽氣體,以沿著基板的底表面選擇性地沉積金屬矽化物的薄層。本發明人已觀察到,金屬鹵化物前驅物和矽烷與特徵的底表面處之化學鍵(例如矽鍵)的端部反應,以主要沿著底表面、但不沿著特徵的氧化或氮化側壁形成金屬矽化物。如在步驟112指出的,可以重複104-110,以沿著基板的底表面選擇性地沉積金屬矽化物到預定的厚度,同時有利的是沿著特徵的一個或更多個側壁不沉積、或沉積非常少的金屬矽化物。
接著,在步驟114,可以在沉積金屬矽化物層220之後使基板200進行退火。在一些實施例中,退火溫度為約攝氏500度至約攝氏1100度。在一些實施例中,基板200進行退火持續約1毫秒至約1分鐘。在一些實施例中,退火有助於形成穩定的金屬矽化物結構,例如穩定的矽化鉭(TaSi2)結構。
在一些實施例中,如第2C圖描繪的,在形成金屬矽化物層之後,可以在特徵202內沉積覆蓋層222。覆蓋層可以有利地限制或防止金屬矽化物層氧化。本文中使用的「覆蓋層」可以指沿著特徵的至少一部分側壁及/或下表面保形地形成的層,使得在沉積該層之前大部分的特徵在沉積該層之後保持未被填充。在一些實施例中,覆蓋層222可以沿著特徵的整個側壁和下表面形成。在一些實施例中,覆蓋層222是含金屬層。例如,在一些實施例中,覆蓋層222可含有鎢(W)、鋁(Al)、鈦(Ti)、鉭(Ta)、上述之氧化物或氮化物、上述之矽化物、上述之衍生物、或上述之組合。在一些實施例中,覆蓋層222是氮化鈦。覆蓋層222可以藉由任何適用於提供具有適當厚度的覆蓋層222的製程來形成。例如,在一些實施例中,覆蓋層222可以經由沉積製程形成,該沉積製程例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、或循環沉積製程,例如原子層沉積(ALD)或類似製程。
在一些實施例中,可以將襯墊層224沉積在特徵202內。本文中使用的「襯墊層」是指沿著開口的至少一部分側壁及/或下表面保形地形成的層,使得在沉積該層之前大部分的開口在沉積該層之後保持未被填充。在一些實施例中,襯墊層224可以沿著開口的整個側壁和底表面形成。在一些實施例中,襯墊層224可以包含任何適用於充當襯墊的材料。例如,在一些實施例中,襯墊層224可以包含金屬,例如鈦(Ti)、鉭(Ta)、鈷(Co)、錳(Mn)、鎢(W)、鉿(Hf)、上述之合金、或類似物中之一者或更多者。襯墊層224可以藉由任何適用於提供具有適當厚度的襯墊層224的製程來形成。例如,在一些實施例中,襯墊層224可以經由沉積製程形成,該沉積製程例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、或循環沉積製程,例如原子層沉積(ALD)或類似製程。
在形成覆蓋層222和襯墊層224之後,特徵202可以被填充導電填充材料226。例如,在一些實施例中,導電填充材料226可以是銅、鈷、鎢、或類似物中之一者或更多者。可以使用任何適當的沉積製程(例如CVD或PVD)將導電填充材料226沉積在特徵202中。
第3圖繪示可被用於實施本文討論的揭示實施例的類型的說明性設備300之示意圖。設備300可以是任何適用於進行一種或更多種基板製程的設備,該基板製程例如但不限於沉積製程,該沉積製程例如化學氣相沉積(CVD)、原子層沉積(ALD)、或類似製程。在一些實施例中,處理腔室302可以是如以下描述的獨立設備300,或者處理腔室302可以是群集工具的一部分,該群集工具例如可向美國加州聖克拉拉的應用材料公司(Applied Materials, Inc. of Santa Clara, California)購得的CENTURA®、PRODUCER®、或Endura®群集工具中之任一群集工具。
設備300可以包含控制器350和處理腔室302,處理腔室302具有用於從處理腔室302的內部容積305移除過量處理氣體、處理副產物、或類似物的排氣系統320。例示性的處理腔室可以包括設以用於化學汽相沉積(CVD)或原子層沉積(ALD)、購自美國加州聖克拉拉的應用材料公司的數種處理腔室中的任何處理腔室。可以類似地使用來自其他製造商的其他適當處理腔室。
處理腔室302具有內部容積305,內部容積305可以包括處理容積304。處理容積304可被界定在例如基板支座308與一個或更多個氣體入口之間,基板支座308被配置在處理腔室302內用於在處理過程中支撐基板310,該一個或更多個氣體入口例如被設置在適當位置的噴頭314及/或噴嘴。在一些實施例中,基板支座308可以包括將基板310保持或支撐在基板支座308的表面上的機構,例如靜電夾盤、真空夾盤、基板固定夾、或類似物(未圖示)。在一些實施例中,基板支座308可以包括用於控制基板溫度的機構(例如加熱及/或冷卻裝置,未圖示)及/或用於控制接近基板表面的物種通量及/或離子能量的機構。
例如,在一些實施例中,基板支座308可以包括RF偏壓電極340。RF偏壓電極340可以通過一個或更多個個別的匹配網路(圖示的匹配網路336)耦接到 一個或更多個偏壓電源(圖示的一個偏壓電源338)。該一個或更多個偏壓電源可以是能夠以約2MHz至約60MHz(例如以約2MHz、或約13.56MHz、或約60MHz)的頻率產生高達1200W的RF能量。在一些實施例中,可以設置兩個偏壓電源用於分別以約2MHz和約13.56MHz的頻率通過個別的匹配網路將RF功率耦接到RF偏壓電極340。該至少一個偏壓電源可以提供連續或脈衝功率。在一些實施例中,偏壓電源可以替代地為直流或脈衝直流電源。
基板310可以經由處理腔室302的壁中的開口312進入處理腔室302。開口312可以經由狹縫閥318或其他機構被選擇性封閉,用於選擇性地通過開口312進出腔室的內部體積。基板支座308可以被耦接到升舉機構334,升舉機構334可以在適用於經由開口312傳送基板進出腔室的下方位置(如圖所示)與適用於處理的可選擇上方位置之間控制基板支座308的位置。可以選擇處理位置以最大化特定製程的處理均勻度。當在至少一個升高的處理位置時,基板支座308可以位於開口312上方,以提供對稱的處理區域。
可以將一個或更多個氣體入口(例如噴頭314)耦接到氣體供應器316,用於提供一種或更多種處理氣體通過質量流量控制器317進入處理腔室302的處理容積304。另外,可以設置一個或更多個閥319來控制該一種或更多種處理氣體的流量。質量流量控制器 317和一個或更多個閥319可以被單獨或結合使用,以在預定的流動速率下(例如在恆定的流動速率下)提供處理氣體,或脈衝化地提供處理氣體。
雖然第3圖中圖示出噴頭314,但可以設置另外的或替代的氣體入口,例如被配置在頂板中或在處理腔室302的側壁上或在適用於提供氣體到處理腔室302的其他位置(例如處理腔室的基部、該基板支座的周圍、或類似位置)的噴嘴或入口。
設備300具有利用電容耦合的RF能量進行電漿處理的能力。例如,處理腔室302可以具有從介電質材料製成的頂板342及至少部分導電的噴頭314,以提供RF電極(或可以設置單獨的RF電極)。噴頭314(或其他RF電極)可以通過一個或更多個個別的匹配網路(圖示出匹配網路346)耦接到一個或更多個RF電源(圖示出一個RF電源348)。該一個或更多個電漿源可有能力產生約2MHz及/或約13.56MHz或更高的頻率(例如27MHz及/或60MHz)的高達約3,000W、或在一些實施例中高達約5000W的RF能量。排氣系統320通常包括泵送氣室324及一個或更多個管道,所述一個或更多個管道可將泵送氣室324耦接到處理腔室302的內部容積305(通常是處理容積304)。
真空泵328可以經由泵送口326耦接到泵送氣室324,以經由一個或更多個排氣口(圖示出兩個排氣口322)從處理腔室泵出排氣。真空泵328可以流體 耦接到排氣出口332,用於將排氣引導到適當的排氣處理設備。閥330(例如閘閥、或類似物)可以被配置在泵送氣室324中,以便於結合真空泵328的操作來控制排氣的流動速率。儘管圖示的是z軸移動閘閥,但仍可以使用任何適當的、用於控制排氣流量的製程相容閥。
為了便於如上所述控制處理腔室302,控制器350可以是可在工業環境中使用、用於控制各種腔室和子處理器的任何形式的通用電腦處理器。CPU 352的記憶體(或電腦可讀媒體)356可以是一種或更多種容易獲得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的、位於本端或遠端的數位儲存器。支持電路354被耦接到CPU 352,用於以傳統方式支持處理器。這些電路包括緩存、電源、時鐘電路、輸入/輸出電路和子系統、以及類似物。
本文揭示的發明方法通常可以作為軟體常式358被儲存在記憶體356中,當軟體常式358被CPU 352執行時,軟體常式358使處理腔室302進行本揭示的製程。軟體常式358也可以被第二CPU(未圖示)儲存及/或執行,該第二CPU位於被CPU 352控制的硬體的遠端。也可以以硬體執行本揭示的一些或全部方法。因此,本揭示可被以軟體實施並使用電腦系統以硬體執行,該硬體例如作為專用積體電路或其他類型的硬體實施方式、或作為軟體與硬體的組合。將基板310定位在基板支座308上之後可以執行軟體常式358。當軟體常式358被CPU 352執行時,軟體常式358將通用電腦轉變成控制腔室操作的專用電腦(控制器)350,使得本文揭示的方法可被執行。
可以使用其他的半導體基板處理系統來實施本揭示,其中所屬技術領域中具有通常知識者可以在不偏離本揭示的精神下利用本文揭示的教示來調整處理參數以實現可接受的特性。
雖然前述是針對本揭示的實施例,但在不偏離本揭示的基本範圍下可以設計出本揭示的其他和進一步的實施例。
100‧‧‧方法 102‧‧‧步驟 104‧‧‧步驟 106‧‧‧步驟 108‧‧‧步驟 110‧‧‧步驟 112‧‧‧步驟 114‧‧‧步驟 200‧‧‧基板 202‧‧‧特徵 204‧‧‧基部
206:底表面
212:第一層
213:第一表面
214:側壁
218:開口
220:金屬矽化物層
222:覆蓋層
224:襯墊層
226:導電填充材料
300:設備
302:處理腔室
304:處理容積
305:內部容積
308:基板支座
310:基板
312:開口
314:噴頭
316:氣體供應器
317:質量流量控制器
318:狹縫閥
319:閥
320:排氣系統
322:排氣口
324:泵送氣室
326‧‧‧泵送口 328‧‧‧真空泵 330‧‧‧閥 332‧‧‧排氣出口 334‧‧‧升舉機構 336‧‧‧匹配網路 338‧‧‧偏壓電源 340‧‧‧RF偏壓電極 342‧‧‧頂板 346‧‧‧匹配網路 348‧‧‧RF電源 350‧‧‧控制器 352‧‧‧CPU 354‧‧‧支持電路 356‧‧‧記憶體 358‧‧‧軟體常式
可參照附圖中描繪的本揭示之說明性實施例來理解以上簡要概述和以下更詳細討論的本揭示之實施例。然而,附圖僅圖示本揭示之典型實施例,因此不應將該等附圖視為範圍之限制,因本揭示可認可其他同樣有效的實施例。
第1圖繪示依據本揭示的一些實施例用於選擇性沉積金屬矽化物層的方法之流程圖。
第2A-2C圖繪示依據本揭示的一些實施例選擇性沉積金屬矽化物層的各個階段。
第3圖繪示依據本揭示的一些實施例適用於進行選擇性沉積金屬矽化物層的方法之處理腔室。
為了便於理解,已在可能處使用相同的元件符號來指稱對於圖式相同的元件。圖式未依比例繪製,並且為了清晰起見可被簡化。一個實施例中的元件和特徵可被有利地併入其他實施例中而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟
114‧‧‧步驟

Claims (20)

  1. 一種選擇性沉積一金屬矽化物層的方法,包含以下步驟:(a)將具有一第一層的一基板提供到一處理腔室,其中該第一層包含一第一表面和形成在該第一表面中的一特徵,該特徵包含一開口,該開口係由一個或更多個側壁和一底表面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者;(b)使該基板暴露於一清洗製程,包含以下步驟:使該基板暴露於一含氟前驅物和一含氫前驅物的一混和物之一遠端電漿;(c)使該基板暴露於一前驅物氣體,該前驅物氣體包含一金屬鹵化物;(d)使用一惰性氣體淨化該處理腔室中的該前驅物氣體;(e)使該基板暴露於一含矽氣體,該含矽氣體包含矽烷或矽烷之衍生物;(f)使用一惰性氣體淨化該處理腔室中的該含矽氣體;(g)重複(c)-(f)以沿著該底表面選擇性沉積一金屬矽化物到一預定厚度,其中該金屬矽化物包含 鉭、鉿、鋯或鎢中之一者;以及(h)在沉積該金屬矽化物層之後,將該基板退火。
  2. 如請求項1所述之方法,其中該開口具有小於約10nm的一寬度。
  3. 如請求項1所述之方法,其中該前驅物氣體進一步包含一惰性氣體。
  4. 如請求項1所述之方法,其中該前驅物氣體和該含矽氣體之流速分別小於或等於約500sccm。
  5. 如請求項1所述之方法,其中在暴露於該前驅物氣體和暴露於該含矽氣體期間,該處理腔室的溫度為約攝氏350度至約攝氏550度。
  6. 如請求項1所述之方法,其中在暴露於該前驅物氣體和暴露於該含矽氣體期間,該處理腔室的壓力為約2托至約15托。
  7. 如請求項1所述之方法,其中使該基板暴露於該前驅物氣體持續約1至約10秒。
  8. 如請求項1所述之方法,其中使該基板暴露於該含矽氣體持續約0.5至約5秒。
  9. 如請求項1所述之方法,其中該基板在退火期間的溫度為約攝氏500度至約攝氏1100度。
  10. 如請求項1所述之方法,其中該基板進行退火持續約1毫秒至約1分鐘。
  11. 如請求項1至10中任一項所述之方法,其中該前驅物氣體為五氯化鉭(TaCl5)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、六氯化鎢(WCl6)或五氯化鎢(WCl5)。
  12. 如請求項1至10中任一項所述之方法,進一步包含以下步驟:在沉積該金屬矽化物層之後,在該特徵內沉積一覆蓋層。
  13. 如請求項12所述之方法,其中該覆蓋層包含氮化鈦(TiN)。
  14. 如請求項12所述之方法,進一步包含以下步驟:在該覆蓋層的頂上沉積一襯墊層。
  15. 如請求項14所述之方法,其中該襯墊層包含鈦(Ti)、鉭(Ta)、鈷(Co)、錳(Mn)、鎢(W)或鉿(Hf)中之一者或更多者。
  16. 如請求項15所述之方法,進一步包含以下步驟:沉積一導電填充材料以大體上填滿該特徵。
  17. 一種選擇性沉積一金屬矽化物層的方法,包含以下步驟:(a)將具有一第一層的一基板提供到一處理腔室,其中該第一層包含一第一表面和形成在該第一表面中的一特徵,該特徵包含一開口,該開口具有小於約10nm的一寬度並由一個或更多個側壁和一底表 面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者;(b)使該基板暴露於一清洗製程,包含以下步驟:使該基板暴露於一含氟前驅物和一含氫前驅物的一混和物之一遠端電漿;(c)使該基板暴露於一前驅物氣體,該前驅物氣體包含一金屬鹵化物;(d)使用一惰性氣體淨化該處理腔室中的該前驅物氣體;(e)使該基板暴露於一含矽氣體,該含矽氣體包含矽烷或矽烷之衍生物;(f)使用一惰性氣體淨化該處理腔室中的該含矽氣體;(g)重複(c)-(f)以沿著該底表面選擇性沉積一金屬矽化物到一預定厚度,其中該金屬矽化物包含鉭、鉿、鋯或鎢中之一者;(h)在沉積該金屬矽化物層之後,將該基板進行退火持續約1毫秒至約1分鐘;(i)在沉積該金屬矽化物層並將該金屬矽化物層退火之後,在該特徵內沉積一覆蓋層;(j)在該覆蓋層的頂上沉積一襯墊層;以及(k)在該襯墊層的頂上沉積一導電填充材料以大 體上填滿該特徵。
  18. 如請求項17所述之方法,其中該前驅物氣體和該含矽氣體之流速分別小於或等於約500sccm。
  19. 如請求項17至18中任一項所述之方法,其中該前驅物氣體為五氯化鉭(TaCl5)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、六氯化鎢(WCl6)或五氯化鎢(WCl5)。
  20. 一種電腦可讀媒體,具有儲存在其上的指令,當該指令被執行時,該指令使一處理腔室在具有一第一層的一基板上進行選擇性沉積一金屬矽化物層的方法,其中該第一層包含一第一表面和形成在該第一表面中的一特徵,該特徵包含一開口,該開口係由一個或更多個側壁和一底表面界定,其中該側壁包含氧化矽或氮化矽中之一者,並且其中該底表面包含矽或鍺中之至少一者,該方法包含以下步驟:(a)使該基板暴露於一清洗製程,包含以下步驟:使該基板暴露於一含氟前驅物和一含氫前驅物的一混和物之一遠端電漿;(b)使該基板暴露於一前驅物氣體,該前驅物氣體包含一金屬鹵化物;(c)使用一惰性氣體淨化該處理腔室中的該前驅 物氣體;(d)使該基板暴露於一含矽氣體,該含矽氣體包含矽烷或矽烷之衍生物;(e)使用一惰性氣體淨化該處理腔室中的該含矽氣體;(f)重複(b)-(e)以沿著該底表面選擇性沉積一金屬矽化物到一預定厚度,其中該金屬矽化物包含鉭、鉿、鋯或鎢中之一者;以及(g)在沉積該金屬矽化物層之後,將該基板退火。
TW105112481A 2015-05-01 2016-04-21 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法 TWI695903B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562155963P 2015-05-01 2015-05-01
US62/155,963 2015-05-01
US14/790,862 US10199230B2 (en) 2015-05-01 2015-07-02 Methods for selective deposition of metal silicides via atomic layer deposition cycles
US14/790,862 2015-07-02

Publications (2)

Publication Number Publication Date
TW201702417A TW201702417A (zh) 2017-01-16
TWI695903B true TWI695903B (zh) 2020-06-11

Family

ID=57205190

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105112481A TWI695903B (zh) 2015-05-01 2016-04-21 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法

Country Status (5)

Country Link
US (1) US10199230B2 (zh)
KR (1) KR102449040B1 (zh)
CN (1) CN107533962B (zh)
TW (1) TWI695903B (zh)
WO (1) WO2016178845A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730990B (zh) 2015-10-04 2021-06-21 美商應用材料股份有限公司 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
WO2019033003A1 (en) * 2017-08-11 2019-02-14 Tokyo Electron Limited SELECTIVE FILM DEPOSITION USING HALOGEN DEACTIVATION
US11643721B2 (en) 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
JP7340538B2 (ja) * 2018-04-06 2023-09-07 アプライド マテリアルズ インコーポレイテッド 3次元構造の共形ドーピングのための方法
CN109148461B (zh) * 2018-08-17 2021-02-12 长江存储科技有限责任公司 3d存储器件及其制造方法
US11430661B2 (en) * 2018-12-28 2022-08-30 Applied Materials, Inc. Methods and apparatus for enhancing selectivity of titanium and titanium silicides during chemical vapor deposition
JP7362258B2 (ja) * 2019-02-08 2023-10-17 東京エレクトロン株式会社 基板処理方法及び成膜システム
KR20210130237A (ko) * 2019-03-20 2021-10-29 도쿄엘렉트론가부시키가이샤 반도체 소자를 위한 금속 규화물을 선택적으로 형성하는 방법
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
US11664229B2 (en) 2020-09-24 2023-05-30 Applied Materials, Inc. Nitride capping of titanium material to improve barrier properties
US20220359532A1 (en) * 2021-05-05 2022-11-10 Applied Materials, Inc. Enhancing gapfill performance of dram word line

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000653A1 (en) * 1993-11-30 2002-01-03 Yih-Shung Lin Method for forming an aluminum contact
TW478096B (en) * 1999-06-25 2002-03-01 Applied Materials Inc Method of silicide formation in a semiconductor device
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
CN1585102A (zh) * 2003-08-19 2005-02-23 国际商业机器公司 金属硅化物膜的制作方法和金属氧化物半导体器件
TW201349391A (zh) * 2012-01-27 2013-12-01 Tokyo Electron Ltd 形成保形金屬矽化物膜之方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084417A (en) 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US8207060B2 (en) * 2007-12-18 2012-06-26 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
JP2011216867A (ja) * 2010-03-17 2011-10-27 Tokyo Electron Ltd 薄膜の形成方法
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR101355858B1 (ko) 2010-07-16 2014-01-27 에스케이하이닉스 주식회사 수직형 트랜지스터의 매몰 비트라인 형성방법
FR2979749B1 (fr) * 2011-09-07 2014-03-28 St Microelectronics Crolles 2 Procede de realisation d'une couche de siliciure dans le fond d'une tranchee, et dispositif pour la mise en oeuvre dudit procede

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000653A1 (en) * 1993-11-30 2002-01-03 Yih-Shung Lin Method for forming an aluminum contact
TW478096B (en) * 1999-06-25 2002-03-01 Applied Materials Inc Method of silicide formation in a semiconductor device
US20040127027A1 (en) * 2002-12-30 2004-07-01 Yoon-Jik Lee Method for forming titanium silicide contact of semiconductor device
CN1585102A (zh) * 2003-08-19 2005-02-23 国际商业机器公司 金属硅化物膜的制作方法和金属氧化物半导体器件
TW201349391A (zh) * 2012-01-27 2013-12-01 Tokyo Electron Ltd 形成保形金屬矽化物膜之方法

Also Published As

Publication number Publication date
CN107533962A (zh) 2018-01-02
WO2016178845A1 (en) 2016-11-10
US10199230B2 (en) 2019-02-05
KR20180002766A (ko) 2018-01-08
US20160322229A1 (en) 2016-11-03
TW201702417A (zh) 2017-01-16
KR102449040B1 (ko) 2022-09-28
CN107533962B (zh) 2022-04-15

Similar Documents

Publication Publication Date Title
TWI695903B (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
TWI788311B (zh) 拓撲受限電漿增強循環沉積方法
JP7203515B2 (ja) 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
TWI394858B (zh) 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法
TWI687994B (zh) 用於經由原子層沉積循環之蝕刻的方法
KR102443439B1 (ko) 선택적 코발트 층을 열적으로 형성하기 위한 방법들
JP2015512568A (ja) タングステンによるフィーチャ充填
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
KR20200078423A (ko) 텅스텐 막에서의 결함들을 감소시키거나 제거하는 방법들
TWI750364B (zh) 形成鈦矽化物區域之方法
US9637819B2 (en) Methods for preferential growth of cobalt within substrate features
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
TW202229582A (zh) 改良阻障性質之鈦材料的氮化物覆蓋
KR20230079221A (ko) 기판 처리 방법 및 기판 처리 장치
TW202108805A (zh) 作為SiCxOy之成核層的SixNy
TWI727389B (zh) 使用自組裝單層的選擇性沉積的方法
JP7462065B2 (ja) 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
US20160300731A1 (en) Methods of etchback profile tuning
JP7154232B2 (ja) 堆積-処理-エッチングプロセスを用いたシリコンの選択的堆積