TWI692809B - 蝕刻方法 - Google Patents

蝕刻方法 Download PDF

Info

Publication number
TWI692809B
TWI692809B TW105119359A TW105119359A TWI692809B TW I692809 B TWI692809 B TW I692809B TW 105119359 A TW105119359 A TW 105119359A TW 105119359 A TW105119359 A TW 105119359A TW I692809 B TWI692809 B TW I692809B
Authority
TW
Taiwan
Prior art keywords
gas
region
plasma
processing
procedure
Prior art date
Application number
TW105119359A
Other languages
English (en)
Other versions
TW201724252A (zh
Inventor
日高明
木村壯一郎
杉本勝
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201724252A publication Critical patent/TW201724252A/zh
Application granted granted Critical
Publication of TWI692809B publication Critical patent/TWI692809B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種對於由氮化矽構成之第2區域選擇性地蝕刻由氧化矽構成之第1區域的方法。一實施形態的方法,包含:實行第1程序一次以上的步驟、及實行第2程序一次以上的步驟。第1程序中,將含有氟碳化合物之沉積物形成於被處理體上,接著,藉由沉積物中的氟碳化合物之自由基蝕刻第1區域。第2程序中,將含有氟碳化合物之沉積物形成於被處理體上,接著,藉由氧的活性種使沉積物減少,並藉由從惰性氣體產生之活性種進一步蝕刻第1區域。

Description

蝕刻方法
本發明之實施形態係關於蝕刻方法,尤其是,關於對於由氮化矽構成之第2區域選擇性地蝕刻由氧化矽構成之第1區域的方法。
在電子元件的製造中,有對於由氧化矽(SiO2 )構成之區域施行形成孔洞或溝槽等開口的處理之情形。此等處理中,如同美國專利第7708859號說明書所記載,一般而言,將被處理體暴露於氟碳化合物氣體之電漿,蝕刻該區域。
此外,已知對於由氮化矽構成之第2區域,選擇性地蝕刻由氧化矽構成之第1區域的技術。作為此等技術之一例,已知SAC(Self-Aligned Contact,自對準接觸)技術。關於SAC技術,記載於日本特開2000-307001號公報。
係SAC技術之處理對象的被處理體,具有氧化矽製之第1區域、氮化矽製之第2區域、及由既定材質形成之遮罩。第2區域,設置為界定凹部;第1區域,設置為填埋該凹部,且覆蓋第2區域;遮罩,設置於第1區域上,在凹部上提供開口。習知之SAC技術,如同日本特開2000-307001號公報所記載,為了蝕刻第1區域,而產生包含氟碳化合物氣體、氧氣、及稀有氣體之處理氣體的電漿,將被處理體暴露於氟及/或氟碳化合物的活性種。藉此,在從遮罩之開口露出的部分中蝕刻第1區域而形成上部開口。進一步,藉由將被處理體暴露於活性種,而自對準地蝕刻被第2區域包圍之部分,即凹部內之第1區域。藉此,自對準地形成與上部開口連續的下部開口。 [習知技術文獻] [專利文獻]
專利文獻1:美國專利第7708859號說明書 專利文獻2:日本特開2000-307001號公報
[本發明所欲解決的問題] 上述之SAC技術中,在第1區域之蝕刻進行而露出第2區域時,該第2區域,在未被保護的狀態下暴露於活性種。因此,第2區域被侵蝕。
本案發明人,為了抑制第2區域的侵蝕,開發一種實行包含以下步驟的程序之技術:在被處理體上形成包含氟碳化合物之沉積物的步驟、及藉由沉積物中的氟碳化合物之自由基蝕刻第1區域的步驟。若依此一技術,則可使沉積物,在第2區域露出時作為該第2區域之保護膜而作用,此外,可使該沉積物亦作為用於蝕刻第1區域之自由基的源而作用。因此,若依此一技術,則可抑制第2區域的侵蝕並蝕刻第1區域。
上述沉積物,亦形成於沿著界定凹部之第2區域的側壁面處。亦即,沉積物,部分地,沿著界定凹部之第2區域的側壁面而形成。沿著側壁面形成之沉積物,在與該側壁面鄰接處中阻礙第1區域之蝕刻,在與該側壁面鄰接處產生第1區域的殘渣。此一結果,形成於凹部內的開口之底部的寬度,相較於該開口之上部的寬度變得更窄。因此,必須減少殘渣的量。作為用於減少殘渣的量之方針,考慮減少沉積物的膜厚之方針。然而,此一方針,亦帶來第2區域的侵蝕。
從此等背景來看,必須抑制氧化矽製之第1區域的蝕刻中的氮化矽製之第2區域的侵蝕,並減少以第2區域界定之凹部內的殘渣。 [解決問題之技術手段]
一態樣中,提供對於由氮化矽構成之第2區域,選擇性地蝕刻由氧化矽構成之第1區域的方法。設置為第2區域界定凹部,第1區域填埋該凹部,且覆蓋第2區域,於第1區域上設置由既定材質形成之遮罩(例如有機膜)。此一方法,包含:為了蝕刻第1區域,而實行第1程序一次以上的步驟;以及為了進一步蝕刻第1區域,而實行第2程序一次以上的步驟。第1程序,包含:(i)第1步驟,其在電漿處理裝置之處理容器內產生包含氟碳化合物氣體之處理氣體的電漿,在具有第1區域及第2區域的被處理體上形成包含氟碳化合物之沉積物;以及(ii)第2步驟,藉由沉積物所包含的氟碳化合物之自由基蝕刻第1區域。實行第2程序一次以上的該步驟,係對藉由實行第1程序一次以上的該步驟所處理過之被處理體應用。第2程序,包含:(iii)第3步驟,其在處理容器內,產生包含氟碳化合物氣體之處理氣體的電漿,在具有第1區域及第2區域的被處理體上形成包含氟碳化合物之沉積物;以及(iv)第4步驟,在處理容器內產生包含氧氣及惰性氣體之處理氣體的電漿。
在第1步驟中形成於被處理體上的沉積物,對於第2區域作為保護該第2區域的膜而作用,對於第1區域在第2步驟中成為用於蝕刻該第1區域之自由基的源。沉積物中的氟碳化合物在第2步驟中與第1區域的氧化矽反應,而蝕刻該第1區域。因此,若依第1程序,則可抑制第2區域的侵蝕並蝕刻第1區域。
第2程序的第3步驟中,為了保護第2區域,此外,為了形成用於去除第1區域的殘渣之自由基的源,而在實行第1程序後的被處理體上形成含有氟碳化合物之沉積物。接續的第4步驟中,為了減少沿著界定凹部之第2區域的側壁面形成之沉積物,而產生氧氣的電漿。此外,第4步驟中,藉由源自惰性氣體的電漿之活性種,而促進沉積物與凹部內的第1區域之殘渣的反應,減少該殘渣。因此,若依一態樣之方法,則抑制第2區域的侵蝕,減少以第2區域界定的凹部內之第1區域的殘渣。
一實施形態中,將在第3步驟中為了產生電漿而使用之高頻的電力,設定為較在第1步驟中為了產生電漿而使用之高頻的電力更大的電力。若依此一實施形態,則可將適合去除凹部內的殘渣之解離狀體的氟碳化合物對凹部內供給。
一實施形態中,在處理容器內將被處理體載置於載置台上;在第4步驟中設定的處理容器內之空間的壓力,較在第1步驟中設定的該處理容器內之空間的壓力更高;在第4步驟中對載置台供給之高頻偏壓的電力,較在第1步驟中對載置台供給之高頻偏壓的電力更小。此一實施形態,在第4步驟中利用高壓且高偏壓之條件。在高壓且低偏壓之條件下,相較於低壓及/或高偏壓之條件,活性種更為等向地碰撞被處理體。因此,可有效地減少沿著第2區域的側壁面形成之沉積物及殘渣的量。
一實施形態中,第1程序,亦可更包含:在處理容器內產生包含氧氣及惰性氣體之處理氣體的電漿之步驟。若依此一實施形態,則可減少過剩之沉積物的量,可抑制遮罩之開口及因蝕刻而形成之開口的寬度之過度縮小或阻塞。
一實施形態中,實行第1程序一次以上的該步驟,係在包含第2區域露出時之期間中實行。此一實施形態的方法,在實行第1程序一次以上的該步驟與實行第2程序一次以上的該步驟之間中,更包含:藉由在處理容器內產生的包含氟碳化合物氣體之處理氣體的電漿,蝕刻第1區域直至凹部之底部為止的步驟。若依此一實施形態,則可在更為縮短的時間將第1區域蝕刻至凹部之底部為止,隨後減少凹部內的殘渣。 [本發明之效果]
如同以上說明,可抑制氧化矽製之第1區域的蝕刻中的氮化矽製之第2區域的侵蝕,並減少以第2區域界定之凹部內的殘渣。
以下,參考附圖對各種實施形態詳細地說明。另,對於在各附圖中同一或相當的部分給予同一符號。
圖1為,顯示一實施形態之蝕刻方法的流程圖。圖1所示之方法MT,係藉由對被處理體的電漿處理,而對於由氮化矽構成之第2區域選擇性地蝕刻由氧化矽構成之第1區域的方法。
圖2為,例示被處理體的剖面圖。如圖2所示,被處理體(以下有稱作「晶圓W」之情況),具有:基板SB、第1區域R1、第2區域R2、及之後構成遮罩的有機膜OL。在一例中,晶圓W,係在鰭式電場效應電晶體之製造途中獲得,進一步,具有***區域RA、含矽之反射防止膜AL、及光阻遮罩RM。
***區域RA,設置為從基板SB***。此一***區域RA,例如可構成閘極區域。第2區域R2,由氮化矽(Si3 N4 )構成,設置於***區域RA之表面、及基板SB之表面上。此一第2區域R2,如圖2所示,以界定凹部的方式延伸。在一例中,凹部的深度為約150nm,凹部的寬度為約20nm。
第1區域R1,由氧化矽(SiO2 )構成,設置於第2區域R2上。具體而言,第1區域R1,設置為填埋以第2區域R2界定的凹部,並覆蓋該第2區域R2。
有機膜OL,設置於第1區域R1上。有機膜OL,可由有機材料,例如非晶碳構成。反射防止膜AL,設置於有機膜OL上。光阻遮罩RM,設置於反射防止膜AL上。光阻遮罩RM,在以第2區域R2界定之凹部上方提供具有較該凹部的寬度更寬的寬度之開口。光阻遮罩RM之開口的寬度,例如為60nm。此等光阻遮罩RM的圖案,係藉由光微影技術形成。
方法MT中,在電漿處理裝置內處理如圖2所示之晶圓W般的被處理體。圖3為,概略示意電漿處理裝置之一例的圖。圖3所示之電漿處理裝置10,係電容耦合型電漿蝕刻裝置,具備略圓筒狀之處理容器12。處理容器12,例如由鋁構成,於該處理容器12的內壁面施行陽極氧化處理。此一處理容器12安全接地。
於處理容器12的底部上,設置略圓筒狀之支持部14。支持部14,例如由絕緣材料構成。支持部14,在處理容器12內,從處理容器12的底部起往鉛直方向延伸。此外,於處理容器12內,設置載置台PD。載置台PD,係以支持部14支持。
載置台PD,於其頂面中保持晶圓W。載置台PD,具有下部電極LE及靜電吸盤ESC。下部電極LE,包含第1板18a及第2板18b。第1板18a及第2板18b,例如由鋁等金屬構成,具有略圓盤形狀。第2板18b,設置於第1板18a上,與第1板18a電性連接。
於第2板18b上,設置靜電吸盤ESC。靜電吸盤ESC,具有將係導電膜之電極配置於絕緣層或絕緣片間的構造。靜電吸盤ESC之電極,藉由開關23而與直流電源22電性連接。此一靜電吸盤ESC,藉由以來自直流電源22之直流電壓產生的庫侖力等靜電力吸附晶圓W。藉此,靜電吸盤ESC,可保持晶圓W。
在第2板18b之邊緣部上,配置對焦環FR使其包圍晶圓W的邊緣及靜電吸盤ESC。對焦環FR,係為了改善蝕刻的均一性而設置。對焦環FR,由依蝕刻對象的膜材料而適宜選擇之材料構成,例如可由石英構成。
於第2板18b之內部,設置冷媒流路24。冷媒流路24,構成調溫機構。於冷媒流路24,從設置於處理容器12之外部的急冷器單元通過配管26a供給冷媒。供給至冷媒流路24的冷媒,通過配管26b而返回急冷器單元。如此地,在冷媒流路24與急冷器單元之間,使冷媒循環。藉由控制此一冷媒的溫度,而控制以靜電吸盤ESC支持之晶圓W的溫度。
此外,於電漿處理裝置10,設置氣體供給線28。氣體供給線28,將來自熱傳氣體供給機構之熱傳氣體,例如He氣體,對靜電吸盤ESC的頂面與晶圓W的背面之間供給。
此外,電漿處理裝置10,具備上部電極30。上部電極30,在載置台PD的上方中,與該載置台PD對向配置。下部電極LE與上部電極30,彼此略平行地設置。在上部電極30與載置台PD之間,提供用於對晶圓W施行電漿處理的處理空間S。
上部電極30,藉由絕緣性遮蔽構件32,而被支持在處理容器12之上部。一實施形態中,上部電極30,可構成為自載置台PD的頂面,即晶圓載置面起之鉛直方向中的距離可變。上部電極30,可包含頂板34及支持體36。頂板34面向處理空間S,於該頂板34設置複數個氣體噴吐孔34a。此一頂板34,在一實施形態中,由矽構成。
支持體36,以可任意裝卸的方式支持頂板34,例如可由鋁等導電性材料構成。此一支持體36,可具有水冷構造。於支持體36之內部,設置氣體擴散室36a。從此氣體擴散室36a起,與氣體噴吐孔34a連通之複數個氣體流通孔36b往下方延伸。此外,於支持體36,形成將處理氣體往氣體擴散室36a引導的氣體導入口36c,於此氣體導入口36c,連接氣體供給管38。
於氣體供給管38,藉由閥群42及流量控制器群44,而與氣體源群40相連接。氣體源群40,包含複數個氣體源。在一例中,氣體源群40,包含一個以上之氟碳化合物氣體的源、稀有氣體的源、氮氣(N2 氣體)的源、氫氣(H2 氣體)的源、及含氧氣體的源。一個以上之氟碳化合物氣體的源,在一例中,可包含C4 F8 氣體的源、CF4 氣體的源、及C4 F6 氣體的源。稀有氣體的源,可為He氣體、Ne氣體、Ar氣體、Kr氣體、Xe氣體等任意之稀有氣體的源,在一例中,為Ar氣體的源。此外,含氧氣體的源,在一例中,可為氧氣(O2 氣體)的源。另,含氧氣體,可為含氧之任意的氣體,例如亦可為CO氣體或CO2 氣體等氧化碳氣體。
閥群42包含複數個閥,流量控制器群44包含質量流量控制器等複數個流量控制器。氣體源群40之複數個氣體源,分別藉由閥群42之對應的閥、及流量控制器群44之對應的流量控制器,而與氣體供給管38相連接。
此外,電漿處理裝置10中,沿著處理容器12的內壁以可任意裝卸之方式設置防沈積遮蔽構件46。防沈積遮蔽構件46,亦設置於支持部14之外周。防沈積遮蔽構件46,防止蝕刻副產物(沉積物)附著於處理容器12,可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。
排氣板48,設置於處理容器12的底部側且設置於支持部14與處理容器12的側壁之間。於排氣板48,形成貫通該排氣板48之板厚方向的複數個貫通孔。排氣板48,例如可藉由將Y2 O3 等陶瓷被覆於鋁材而構成。排氣口12e,設置於此排氣板48的下方且設置於處理容器12。排氣口12e,藉由排氣管52而與排氣裝置50相連接。排氣裝置50,具有壓力調整閥、及渦輪分子泵等真空泵,可將處理容器12內之空間減壓至期望的真空度為止。此外,在處理容器12的側壁設置晶圓W之搬出入口12g,此一搬出入口12g可藉由閘閥54開閉。
此外,電漿處理裝置10,更具備第1高頻電源62及第2高頻電源64。第1高頻電源62,為產生電漿產生用之高頻的電源,例如產生27~100MHz之頻率的高頻。第1高頻電源62,藉由匹配器66而與下部電極LE相連接。匹配器66,具有用於將第1高頻電源62之輸出阻抗與負載側(下部電極LE側)之輸入阻抗匹配的電路。另,第1高頻電源62,亦可藉由匹配器66而與上部電極30相連接。
第2高頻電源64,為產生用於將離子導入晶圓W之高頻偏壓的電源,例如產生400kHz~13.56MHz的範圍內之頻率的高頻偏壓。第2高頻電源64,藉由匹配器68而與下部電極LE相連接。匹配器68,具有用於將第2高頻電源64之輸出阻抗與負載側(下部電極LE側)之輸入阻抗匹配的電路。
此外,電漿處理裝置10,更具備電源70。電源70,與上部電極30相連接。電源70,對上部電極30,施加用於將存在於處理空間S內之陽離子導入頂板34的電壓。在一例中,電源70,為產生負的直流電壓之直流電源。在另一例中,電源70,亦可為產生較為低頻的交流電壓之交流電源。自電源70對上部電極施加的電壓,可為-150V以下的電壓。亦即,藉由電源70對上部電極30施加的電壓,可為絕對值為150V以上之負的電壓。若將此等電壓自電源70對上部電極30施加,則存在於處理空間S之陽離子,碰撞頂板34。藉此,從頂板34釋放二次電子及/或矽。釋放出的矽,與存在於處理空間S內的氟之活性種結合,而減少氟之活性種的量。
此外,一實施形態中,電漿處理裝置10,可更具備控制部Cnt。此控制部Cnt,為具備處理器、記憶部、輸入裝置、顯示裝置等之電腦,控制電漿處理裝置10的各部。此控制部Cnt中,使用輸入裝置,操作者可為了管理電漿處理裝置10而施行指令之輸入操作等,此外,藉由顯示裝置,可將電漿處理裝置10的運作狀況視覺化顯示。進一步,於控制部Cnt之記憶部,收納用於藉由處理器控制在電漿處理裝置10實行之各種處理的控制程式、用於因應處理條件而使電漿處理裝置10之各部實行處理的程式,亦即,收納處理配方。
以下,再度參考圖1,對方法MT詳細地說明。以下,與圖1一同適宜參考圖2、圖4~圖17。圖4~圖16為,顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖;圖17為實施圖1所示的方法後之被處理體的剖面圖。以下說明,對於在方法MT中使用圖3所示之一電漿處理裝置10處理圖2所示之晶圓W的例子加以說明。另,使用電漿處理裝置10實施的方法MT之各步驟,可藉由控制部Cnt控制該電漿處理裝置10之各部的動作。
首先,方法MT中,將圖2所示之晶圓W搬入電漿處理裝置10內,將該晶圓W載置於載置台PD上,藉由該載置台PD的靜電吸盤ESC保持。
方法MT中,接著,實行步驟ST1。步驟ST1中,蝕刻反射防止膜AL。因此,步驟ST1中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,包含氟碳化合物氣體。氟碳化合物氣體,例如可包含C4 F8 氣體及CF4 氣體中之一種以上。此外,此處理氣體,可更包含稀有氣體,例如Ar氣體。此外,步驟ST1中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1中,對下部電極LE供給來自第1高頻電源62之高頻,對下部電極LE供給來自第2高頻電源64之高頻偏壓。
以下,例示步驟ST1中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 C4 F8 氣體:10sccm~30sccm CF4 氣體:150sccm~300sccm Ar氣體:200sccm~500sccm ‧電漿產生用之高頻的電力:300W~1000W ‧高頻偏壓的電力:200W~500W
步驟ST1中,產生處理氣體的電漿,藉由氟碳化合物及/或氟的活性種,在從光阻遮罩RM之開口露出的部分中蝕刻反射防止膜AL。此一結果,如圖4所示,去除反射防止膜AL之全區域中的從光阻遮罩RM之開口露出的部分。亦即,將光阻遮罩RM之圖案轉印至反射防止膜AL,於反射防止膜AL形成提供開口的圖案。
接續的步驟ST2中,蝕刻有機膜OL。因此,步驟ST2中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,可包含氫氣及氮氣。另,步驟ST2中使用的處理氣體,若為可蝕刻有機膜之氣體,則亦可為其他氣體,例如含氧氣之處理氣體。此外,步驟ST2中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST2中,對下部電極LE供給來自第1高頻電源62之高頻,對下部電極LE供給來自第2高頻電源64之高頻偏壓。
以下,例示步驟ST2中之各種條件。 ‧處理容器內壓力:50mTorr(6.65Pa)~200mTorr(26.6Pa) ‧處理氣體 N2 氣體:200sccm~400sccm H2 氣體:200sccm~400sccm ‧電漿產生用之高頻的電力:500W~2000W ‧高頻偏壓的電力:200W~500W
步驟ST2中,產生處理氣體的電漿,在從反射防止膜AL之開口露出的部分中蝕刻有機膜OL。此外,亦蝕刻光阻遮罩RM。此一結果,如圖5所示,去除光阻遮罩RM,去除有機膜OL之全區域中的從反射防止膜AL之開口露出的部分。亦即,將反射防止膜AL之圖案轉印至有機膜OL,於有機膜OL形成提供開口MO的圖案,自該有機膜OL產生遮罩MK。
一實施形態中,在實行步驟ST2後實行步驟ST3。步驟ST3中,蝕刻第1區域R1至緊接於第2區域R2露出前為止。亦即,蝕刻該第1區域R1,直至第1區域R1些微殘留於第2區域R2上為止。因此,步驟ST3中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,包含氟碳化合物氣體。此外,此處理氣體,可更包含稀有氣體,例如Ar氣體。此外,此處理氣體,可更包含氧氣。此外,步驟ST3中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST3中,對下部電極LE供給來自第1高頻電源62之高頻,對下部電極LE供給來自第2高頻電源64之高頻偏壓。
步驟ST3中,產生處理氣體的電漿,在從遮罩MK之開口露出的部分中,藉由氟碳化合物及/或氟的活性種蝕刻第1區域R1。此一步驟ST3之處理時間,設定為在該步驟ST3結束時,於第2區域R2上殘留既定膜厚之第1區域R1。此一步驟ST3之實行結果,如圖6所示,部分地形成上部開口UO。
另,後述之步驟ST11中,設定成為相較於步驟ST3中之第1區域R1的蝕刻,包含氟碳化合物的沉積物之往包含第1區域R1在內的晶圓W之表面上的形成更為優先之模式,即成為沉積模式的條件。另一方面,步驟ST3中,設定成為相較於沉積物的形成,第1區域R1的蝕刻更為優先之模式,亦即,成為蝕刻模式的條件。因此,在一例中,步驟ST3中利用之氟碳化合物氣體,可包含C4 F8 氣體及CF4 氣體中的一種以上。此例之氟碳化合物氣體,相較於在步驟ST11中利用之氟碳化合物氣體的氟原子數之相對於碳原子數的比(亦即,氟原子數/碳原子數),為氟原子數相對於碳原子數的比(亦即,氟原子數/碳原子數)高之氟碳化合物氣體。此外,在一例中,為了提高氟碳化合物之解離度,可將在步驟ST3中利用的電漿產生用之高頻的電力,設定為較在步驟ST11中利用的電漿產生用之高頻的電力更大的電力。若依此等例子,則可實現蝕刻模式。此外,在一例中,可將在步驟ST3中利用之高頻偏壓的電力,亦設定為較步驟ST11之高頻偏壓的電力更大的電力。若依此一例子,則對晶圓W導入之離子的能量提高,可高速地蝕刻第1區域R1。
以下,例示步驟ST3中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 C4 F8 氣體:10sccm~30sccm CF4 氣體:50sccm~150sccm Ar氣體:500sccm~1000sccm O2 氣體:10sccm~30sccm ‧電漿產生用之高頻的電力:500W~2000W ‧高頻偏壓的電力:500W~2000W
一實施形態中,接著,實行步驟ST4。步驟ST4中,在處理容器12內產生包含含氧氣體之處理氣體的電漿。因此,步驟ST4中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,在一例中,作為含氧氣體,可包含氧氣。此外,處理氣體,可更包含稀有氣體(例如Ar氣體)或氮氣等惰性氣體。此外,步驟ST4中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST4中,對下部電極LE供給來自第1高頻電源62之高頻的電力。另,步驟ST4中,亦可不對下部電極LE供給來自第2高頻電源64之高頻偏壓。
步驟ST4中,產生氧的活性種,藉由該氧的活性種使遮罩MK之開口MO在其上端部分中擴大。具體而言,如圖7所示,蝕刻以使界定開口MO之上端部分的遮罩MK之上側肩部呈錐狀。藉此,即便在之後步驟產生的沉積物附著於界定遮罩MK之開口MO的面,仍可減少該開口MO的寬度之縮小量。
另,後述之步驟ST12,減少在各程序中形成之微量的沉積物,有抑制沉積物之過度減少的必要。另一方面,步驟ST4中,係為了擴大遮罩MK之開口MO的上端部分之寬度而實行,要求其處理時間為短時間。
以下,例示步驟ST4中之各種條件。 ‧處理容器內壓力:30mTorr(3.99Pa)~200mTorr(26.6Pa) ‧處理氣體 O2 氣體:50sccm~500sccm Ar氣體:200sccm~1500sccm ‧電漿產生用之高頻的電力:100W~500W ‧高頻偏壓的電力:0W~200W
接著,方法MT中,為了蝕刻第1區域R1,而將程序SQ1實行一次以上。程序SQ1,係在至少包含第2區域R2露出的期間中,為了蝕刻第1區域R1而實行。一實施形態中,將程序SQ1實行複數次。程序SQ1,包含步驟ST11、步驟ST12、及步驟ST13。
步驟ST11中,在收納有晶圓W之處理容器12內,產生處理氣體的電漿。因此,步驟ST11中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,包含氟碳化合物氣體。此外,此處理氣體,可更包含稀有氣體,例如Ar氣體。此外,步驟ST11中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST11中,對下部電極LE供給來自第1高頻電源62之高頻。另,亦可對下部電極LE供給來自第2高頻電源64之高頻偏壓。此步驟ST11中,產生包含氟碳化合物氣體之處理氣體的電漿,而解離之氟碳化合物,沉積於晶圓W之表面上,如圖8所示地形成沉積物DP。
如同上述,步驟ST11中,選擇成為沉積模式的條件。因此,在一例中,作為氟碳化合物氣體,利用C4 F6 氣體。
以下,例示步驟ST11中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 C4 F6 氣體:2sccm~10sccm Ar氣體:500sccm~1500sccm ‧電漿產生用之高頻的電力:100W~500W ‧高頻偏壓的電力:0W~50W
接續的步驟ST12中,在處理容器12內產生包含含氧氣體及惰性氣體之處理氣體的電漿。因此,步驟ST12中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。在一例中,此處理氣體,作為含氧氣體,包含氧氣。此外,在一例中,此處理氣體,作為惰性氣體,包含Ar氣體等稀有氣體。惰性氣體,亦可為氮氣。此外,步驟ST12中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST12中,對下部電極LE供給來自第1高頻電源62之高頻。步驟ST12中,亦可對下部電極LE供給來自第2高頻電源64之高頻偏壓。
步驟ST12中,產生氧的活性種,藉由該氧的活性種,如圖9所示,適度地減少晶圓W上之沉積物DP的量。此一結果,防止因過剩的沉積物DP而阻塞開口MO及上部開口UO。此外,在步驟ST12利用之處理氣體中,以惰性氣體稀釋氧氣,故可抑制沉積物DP被過度去除。
以下,例示步驟ST12中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 O2 氣體:2sccm~20sccm Ar氣體:500sccm~1500sccm ‧電漿產生用之高頻的電力:100W~500W ‧高頻偏壓的電力:0W
一實施形態中,將各程序的步驟ST12,即一次的步驟ST12實行2秒以上,且可在步驟ST12中以1nm/秒以下的速率蝕刻沉積物DP。在使用如電漿處理裝置10之電漿處理裝置實行上述程序,需要時間切換用於步驟ST11、步驟ST12、及步驟ST13之各步驟間的轉換之氣體。因此,若考慮放電之穩定所需要的時間,則步驟ST12必須實行2秒以上。然而,若此等時間長度之期間中的沉積物DP之蝕刻率過高,則可能過度去除用於保護第2區域R2之沉積物。因此,在步驟ST12中以1nm/秒以下的速率蝕刻沉積物DP。藉此,可適度地調整形成於晶圓W上之沉積物DP的量。另,步驟ST12中之沉積物DP的蝕刻之1nm/秒以下的速率,係藉由從以下條件選擇而可達成:處理容器內的壓力;處理氣體中的氧之稀有氣體所產生的稀釋程度,即氧濃度;及電漿產生用之高頻的電力。
接續的步驟ST13中,蝕刻第1區域R1。此步驟ST13中,施行促進沉積物DP中之氟碳化合物與第1區域R1之氧化矽的反應之處理。因此,步驟ST13中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,包含惰性氣體。惰性氣體,在一例中,可為Ar氣體等稀有氣體。或者,惰性氣體,亦可為氮氣。此外,步驟ST13中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST13中,對下部電極LE供給來自第1高頻電源62之高頻。此外,步驟ST13中,對下部電極LE供給來自第2高頻電源64之高頻偏壓。
以下,例示步驟ST13中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 Ar氣體:500sccm~1500sccm ‧電漿產生用之高頻的電力:100W~500W ‧高頻偏壓的電力:20W~300W
步驟ST13中,產生惰性氣體的電漿,對晶圓W導入離子。藉此,促進沉積物DP中所含的氟碳化合物之自由基與第1區域R1之氧化矽的反應,而蝕刻第1區域R1。藉由實行此步驟ST13,如圖10所示,蝕刻以第2區域R2提供的凹部內之第1區域R1,形成下部開口LO。
程序SQ1之實行次數,取決於以第2區域R2界定的凹部內之第1區域R1的膜厚等而設定。例如,若可將該凹部內之第1區域R1蝕刻至凹部的底部為止,則程序SQ1之實行次數為1次亦可。此一情況,不需要後述之步驟STa的判定,在實行程序SQ1後,實行後述之程序SQ2。
一實施形態中,重複實行程序SQ1。亦即,實行複數次程序SQ1。此一實施形態,在步驟STa中,判定是否滿足停止條件。在程序SQ1實行既定次數之情況判定為滿足停止條件。步驟STa中,在判定為未滿足停止條件之情況,實行步驟ST11至程序SQ1。此一實施形態,伴隨程序SQ1的重複,如圖11所示地,步驟ST11將沉積物DP形成於晶圓W上。而後,如圖12所示地,步驟ST12,減少沉積物DP的量。而後,如圖13所示地,步驟ST13進一步蝕刻第1區域R1,下部開口LO的深度變深。最後,如圖14所示地,蝕刻第1區域R1直至位於凹部之底部的第2區域R2露出為止。另一方面,步驟STa中,在判定為滿足停止條件之情況,接著,實行程序SQ2一次以上。
在方法MT之程序SQ1形成的沉積物DP,如圖14所示,不僅在遮罩MK上及第2區域R2的頂面TS上,亦沿著界定凹部之第2區域R2的側壁面SWF形成。藉由此沉積物DP而使第1區域R1之蝕刻在與側壁面SWF鄰接處受到阻礙,此一結果,實行程序SQ1後,在與側壁面SWF鄰接處中產生第1區域R1的殘渣RS。方法MT中,為了去除殘渣RS,將程序SQ2實行一次以上。程序SQ2包含步驟ST21及步驟ST22。
步驟ST21中,在收納有晶圓W之處理容器12內,產生處理氣體的電漿。因此,步驟ST21中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,包含氟碳化合物氣體。此外,此處理氣體,可更包含稀有氣體,例如Ar氣體。此外,步驟ST21中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST21中,對下部電極LE供給來自第1高頻電源62之高頻。藉此,產生包含氟碳化合物氣體之處理氣體的電漿,而解離之氟碳化合物,沉積於晶圓W之表面上,如圖15所示地形成沉積物DP。
步驟ST21中,選擇成為沉積模式的條件。因此,在一例中,作為氟碳化合物氣體,利用C4 F6 氣體。此外,一實施形態的步驟ST21中,將為了產生電漿而使用之高頻的電力,設定為較在步驟ST11中為了產生電漿而使用的電力更大的電力。
以下,例示步驟ST21中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 C4 F6 氣體:2sccm~10sccm Ar氣體:500sccm~1500sccm ‧電漿產生用之高頻的電力:100W~700W ‧高頻偏壓的電力:0W~50W
接續的步驟ST22中,在處理容器12內產生包含含氧氣體及惰性氣體之處理氣體的電漿。因此,步驟ST22中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體。在一例中,此處理氣體,作為含氧氣體,包含氧氣。此外,在一例中,此處理氣體,作為惰性氣體,包含Ar氣體等稀有氣體。惰性氣體,亦可為氮氣。此外,步驟ST22中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST22中,對下部電極LE供給來自第1高頻電源62之高頻。
一實施形態的步驟ST22中,將來自第2高頻電源64之高頻偏壓,設定為較在步驟ST11使用的來自第2高頻電源64之高頻偏壓的電力更低的電力。例如,步驟ST22中,將來自第2高頻電源64之高頻偏壓設定為0W。此外,一實施形態的步驟ST22中,將處理容器12內之空間的壓力,設定為較步驟ST11中的處理容器12內之空間的壓力更高的壓力。
以下,例示步驟ST22中之各種條件。 ‧處理容器內壓力:40mTorr(5.33Pa)~120mTorr(16.0Pa) ‧處理氣體 O2 氣體:0.1sccm~20sccm Ar氣體:10sccm~500sccm ‧電漿產生用之高頻的電力:25W~100W ‧高頻偏壓的電力:0W~25W
步驟ST22中,產生氧的活性種,藉由該氧的活性種減少沉積物DP的量。此外,藉由源自惰性氣體之電漿的活性種,而促進沉積物DP中之氟碳化合物與殘渣RS的反應,如圖16所示地,減少殘渣RS。
程序SQ2之實行次數,預先設定為充分去除殘渣RS之次數。例如,若殘渣RS被充分去除,則程序SQ2之實行次數為1次亦可。在此一情況,不需要後述之步驟STb的判定,在實行程序SQ2後,結束方法MT。
一實施形態中,重複實行程序SQ2。亦即,實行複數次程序SQ2。此一實施形態,在步驟STb中,判定是否滿足停止條件。在程序SQ2實行既定次數之情況判定為滿足停止條件。步驟STb中,在判定為為滿足停止條件之情況,實行步驟ST21至程序SQ2。另一方面,步驟STb中,在判定為滿足停止條件之情況,方法MT結束。此時,如圖17所示地,殘渣RS被去除,下部開口LO的底部中之寬度變寬。
以上說明之方法MT中,在程序SQ1之步驟ST11中形成的沉積物DP,對於第2區域R2作為保護該第2區域R2的膜而作用。此外,沉積物DP,對於第1區域R1成為在步驟ST13中用於蝕刻該第1區域R1之自由基的源。因此,若依程序SQ1,則可抑制第2區域R2的侵蝕並蝕刻第1區域R1。
此外,程序SQ2之步驟21中,為了保護第2區域R2,此外,為了形成用於去除第1區域R1的殘渣之自由基的源,而在實行程序SQ1後之晶圓W上進一步形成包含氟碳化合物的沉積物DP。接續的步驟ST22中,為了減少沿著側壁面SWF形成之沉積物DP,而產生氧氣的電漿。此外,步驟ST22中,藉由源自惰性氣體的電漿之活性種而促進沉積物DP與殘渣RS的反應,減少該殘渣RS。因此,若依方法MT,則抑制第2區域R2的侵蝕,減少以第2區域R2界定的凹部內之第1區域R1的殘渣。
此外,程序SQ1之步驟ST11及程序SQ2之步驟ST21中,將用於第1區域R1的蝕刻之係自由基的源之沉積物DP,形成在晶圓W的略全表面上。此沉積物DP,亦沉積於對焦環FR上。因此,蝕刻第1區域R1之自由基的晶圓W之面內的濃度差變小。此一結果,改善第1區域R1之蝕刻的面內均一性。
此外,在將寬度相異之複數開口形成於單一的晶圓W之第1區域R1的情況,雖在形成寬開口處形成大量的沉積物DP,在形成窄開口之區域形成少量的沉積物,但此等沉積物DP的量之差異,在步驟ST12及步驟ST22中減少。此一結果,減少此等位置中的蝕刻率之差異。例如,在形成寬開口之處中,可抑制蝕刻率因沉積物DP的量而降低之情況,或蝕刻停止之情況。
一實施形態中,如同上述,將在步驟ST21中為了產生電漿而使用之高頻的電力,設定為較在步驟ST11中為了產生電漿而使用之高頻的電力更大的電力。若依此一實施形態,則可將適合去除凹部內的殘渣RS之解離狀體的氟碳化合物對凹部內供給。
此外,一實施形態中,在步驟ST22中設定的處理容器12內之空間的壓力,較在步驟ST11中設定的處理容器12內之空間的壓力更高;在步驟ST22中對下部電極LE供給之高頻偏壓的電力,較在步驟ST11中對下部電極LE供給之高頻偏壓的電力更小。亦即,此一實施形態中,在步驟ST22中利用高壓且低偏壓之條件。在高壓且低偏壓之條件下,相較於低壓及/或高偏壓之條件,活性種更為等向地碰撞晶圓W。因此,可有效地減少沿著側壁面SWF形成之沉積物DP及殘渣RS的量。
另一實施形態中,以使在包含第2區域R2露出時的期間實行之程序SQ1(下稱「第1程序」)中第1區域R1被蝕刻的量,較在其後實行之程序SQ1(下稱「第2程序」)中第1區域R1被蝕刻的量更少之方式,設定程序SQ1之重複的條件亦可。在一例中,將第1程序之實行時間長度,設定為較第2程序之實行時間長度更短。此一例中,第1程序中的步驟ST11之實行時間長度、步驟ST12之實行時間長度、及步驟ST13之實行時間長度的比,可與第2程序中的步驟ST11之實行時間長度、步驟ST12之實行時間長度、及步驟ST13之實行時間長度的比同樣地設定。例如,第1程序中,步驟ST11之實行時間長度係從2秒~5秒的範圍之時間長度選擇,步驟ST12之實行時間長度係從2秒~5秒的範圍之時間長度選擇,步驟ST13之實行時間長度係從5秒~10秒的範圍之時間長度選擇。此外,第2程序中,步驟ST11之實行時間長度係從2秒~10秒的範圍之時間長度選擇,步驟ST12之實行時間長度係從2秒~10秒的範圍之時間長度選擇,步驟ST13之實行時間長度係從5秒~20秒的範圍之時間長度選擇。
在步驟ST11產生的氟碳化合物之解離種,沉積於第2區域R2上而保護該第2區域R2,但在第1區域R1被蝕刻而第2區域R2露出時,有雖為微量但第2區域R2被蝕刻之情形。因而,一實施形態中,在第2區域R2露出之期間中實行第1程序。藉此,抑制蝕刻量並將沉積物DP形成於晶圓W上,藉由該沉積物DP更為確實地保護第2區域R2。而後,實行蝕刻量多的第2程序。因此,若依此一實施形態,則可更為抑制第2區域R2的侵蝕,並蝕刻第1區域R1。
此外,在實行第2程序後實行之程序SQ1(下稱「第3程序」)的步驟ST13中,亦可將高頻偏壓的電力,設定為較在第1程序及第2程序的步驟ST13中利用之高頻偏壓的電力更大的電力。例如,第1程序及第2程序之步驟ST13中,將高頻偏壓的電力設定為20W~100W的電力,第3程序之步驟ST13中,將高頻偏壓的電力設定為100W~300W的電力。另,一例的第3程序中,步驟ST11之實行時間長度係從2秒~10秒的範圍之時間長度選擇,步驟ST12之實行時間長度係從2秒~10秒的範圍之時間長度選擇,步驟ST13之實行時間長度係從5秒~15秒的範圍之時間長度選擇。如此地,第3程序之步驟ST13利用較大的電力之高頻偏壓,故提高導入至晶圓W之離子的能量。此一結果,即便下部開口LO深,仍可將離子供給至該下部開口LO之深部為止。
以下,對其他實施形態之蝕刻方法加以說明。圖18為,顯示其他實施形態之蝕刻方法的流程圖。圖18所示之方法MT2,在程序SQ1更包含在實行步驟ST13後實行之步驟ST14的點上,與方法MT相異。另,方法MT2在使用電漿處理裝置10實行之情況,可藉由控制部Cnt控制該方法MT2之各步驟中的電漿處理裝置10之各部的動作。
方法MT2之步驟ST14,係與步驟ST12同樣之步驟。步驟ST14之處理的條件,可採用關於步驟ST12之處理的上述條件。如同上述,步驟ST13中對晶圓W導入離子。藉此,從晶圓W釋放構成沉積物DP之物質,該物質再度附著於晶圓W。再附著於晶圓W之物質,構成沉積物DP,有開口MO及下部開口LO的寬度縮窄之情況,依情形,亦有阻塞開口MO及下部開口LO之情況。方法MT2中,藉由實行步驟ST14,而使圖10及圖13所示之晶圓W,暴露於氧的活性種。藉此,可減少使開口MO及下部開口LO的寬度縮窄之沉積物DP。此一結果,可更為確實地防止開口MO及下部開口LO之阻塞。
以下,進一步對其他實施形態之蝕刻方法加以說明。圖19為,顯示更另一實施形態之蝕刻方法的流程圖。圖19所示之方法MT3,在更包含步驟ST5的點中與方法MT及方法MT2相異。另,在使用電漿處理裝置10實行方法MT3之情況,可藉由控制部Cnt控制該方法MT3之各步驟中的電漿處理裝置10之各部的動作。
方法MT3中,程序SQ1係在包含第2區域R2露出時的期間中實行。亦即,以第2區域R2露出時,在該第2區域R2上形成沉積物而充分地保護該第2區域R2之方式,實行程序SQ1。而後,在實行程序SQ1後,為了蝕刻第1區域R1直至凹部之底部為止而實行步驟ST5。而後,實行程序SQ2。亦即,步驟ST5,係在程序SQ1之一次以上的實行與程序SQ2之一次以上的實行之間實行。
步驟ST5中,從在氣體源群40之複數個氣體源中選出的氣體源對處理容器12內供給處理氣體(第2處理氣體)。此處理氣體,包含氟碳化合物氣體。此外,此處理氣體,可更包含稀有氣體,例如Ar氣體。此外,此處理氣體,可更包含氧氣。此外,步驟ST5中,排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST5中,對下部電極LE供給來自第1高頻電源62之高頻。此外,對下部電極LE供給來自第2高頻電源64之高頻偏壓。
步驟ST5中,產生處理氣體的電漿,藉由氟碳化合物及/或氟的活性種,蝕刻凹部內之第1區域R1。此步驟ST5中,選擇成為蝕刻模式的條件。因此,在一例中,步驟ST5中利用之氟碳化合物氣體,為C4 F6 氣體。另,步驟ST5中利用之氟碳化合物氣體,亦可包含C4 F8 氣體及CF4 氣體中的一種以上。此外,在一例中,為了提高氟碳化合物氣體之解離度,而可將在步驟ST5中利用的電漿產生用之高頻的電力,設定為較在步驟ST11中利用的電漿產生用之高頻的電力更大的電力。此外,在一例中,可將在步驟ST5中利用之高頻偏壓的電力,亦設定為較步驟ST11之高頻偏壓的電力更大的電力。若依此一例子,則提高對晶圓W導入之離子的能量,可高速地蝕刻第1區域R1。
以下,例示步驟ST5中之各種條件。 ‧處理容器內壓力:10mTorr(1.33Pa)~50mTorr(6.65Pa) ‧處理氣體 C4 F6 氣體:2sccm~10sccm Ar氣體:500sccm~2000sccm O2 氣體:2sccm~20sccm ‧電漿產生用之高頻電力:100W~500W ‧高頻偏壓電力:20W~300W ‧電源70的電壓:0V~900V
方法MT3中,在以藉由實行程序SQ1而形成之沉積物DP保護第2區域R2的狀態下,實行步驟ST5。步驟ST5中,藉由氟碳化合物氣體的電漿進一步蝕刻第1區域。此一步驟ST5中的第1區域R1之蝕刻率,較程序SQ1中的第1區域R1之蝕刻率更高。因此,若依方法MT3,則可在更為縮短的時間將第1區域R1蝕刻至凹部之底部為止。此外,若依方法MT3,則可在實行步驟ST5後減少殘渣RS。
以上,雖對各種之實施形態進行說明,但並未限定為上述實施形態,可構成為各種變形態樣。例如,在方法MT、方法MT2、及方法MT3之各步驟中,亦可不對下部電極LE而對上部電極30供給電漿產生用之高頻。此外,在方法MT、方法MT2、及方法MT3的實施上,可使用電漿處理裝置10以外的電漿處理裝置。例如,在方法MT、方法MT2、及方法MT3的實施上,可如同電感耦合型的電漿處理裝置、或藉由微波等表面波產生電漿的電漿處理裝置地,使用任意的電漿處理裝置。
此外,亦可改變程序SQ1中之步驟ST11、步驟ST12、及步驟ST13的實行順序。例如,程序SQ1中,亦可在實行步驟ST13後實行步驟ST12。
此外,亦可從方法MT、方法MT2、及方法MT3省略步驟ST3。此一情況,可為了形成上部開口UO而實行程序SQ1取代步驟ST3。
以下,雖對為了評價方法MT而施行的實驗例1~3加以說明,但本發明並未限定於此等實驗例。
實驗例1~3中,準備圖20的(a)所示之晶圓WE。晶圓WE,於基板SB上具有氧化矽膜OX,於該氧化矽膜OX上具有遮罩MKE。氧化矽膜OX的膜厚為2μm,遮罩MKE之開口的寬度為19nm。實驗例1~3中,使用電漿處理裝置10,對晶圓WE實行12次程序SQ1,接著,實行程序SQ2。實驗例1~3的程序SQ2之實行次數,分別為6次、12次、18次。此外,在比較實驗例中,準備晶圓WE,使用電漿處理裝置10,對該晶圓WE實行12次程序SQ1。比較實驗例中並未實行程序SQ2。
顯示實驗例1~3及比較實驗例中的程序SQ1之條件。 <步驟ST11> 處理容器12內之空間的壓力:20mTorr(2.66Pa) C4 F6 氣體流量:4sccm Ar氣體流量:750sccm 電漿產生用之高頻:40MHz、300W 高頻偏壓:13MHz、50W 處理時間:10秒 <步驟ST12> 處理容器12內之空間的壓力:80mTorr(10.6Pa) Ar氣體流量:100sccm O2 氣體流量:3sccm 電漿產生用之高頻:40MHz、50W 高頻偏壓:13MHz、0W 處理時間:4秒 <步驟ST13> 處理容器12內之空間的壓力:20mTorr(2.66Pa) Ar氣體流量:950sccm 電漿產生用之高頻:40MHz、500W 高頻偏壓:13MHz、50W 處理時間:4秒
顯示實驗例1~3的程序SQ2之條件 <步驟ST21> 處理容器12內之空間的壓力:20mTorr(2.66Pa) C4 F6 氣體流量:4sccm Ar氣體流量:750sccm 電漿產生用之高頻:40MHz、500W 高頻偏壓:13MHz、0W 處理時間:10秒 <步驟ST22> 處理容器12內之空間的壓力:80mTorr(10.6Pa) Ar氣體流量:100sccm O2 氣體流量:3sccm 電漿產生用之高頻:40MHz、50W 高頻偏壓:13MHz、0W 處理時間:4秒
實驗例1~3及比較實驗例中,在藉由上述條件下的處理蝕刻氧化矽膜OX後施行灰化處理。而實驗例1~3及比較實驗例中,如圖20之(b)所示,從該晶圓WE之SEM影像,測定形成於晶圓WE之氧化矽膜OX的開口之上端的寬度UW、及該開口之底部的寬度BW。此一結果,實驗例1中,寬度UW為21.6nm,寬度BW為12.7nm。實驗例2中,寬度UW為22.2nm,寬度BW為15.9nm。實驗例3中,寬度UW為23.5nm,寬度BW為19.7nm。此外,比較實驗例中,寬度UW為21.0nm,寬度BW為6.4nm。因此,吾人確認實驗例1~3,相較於比較實驗例,可擴展開口之底部的寬度。
10‧‧‧電漿處理裝置 12‧‧‧處理容器 12e‧‧‧排氣口 12g‧‧‧搬出入口 14‧‧‧支持部 18a‧‧‧第1板 18b‧‧‧第2板 22‧‧‧直流電源 23‧‧‧開關 24‧‧‧冷媒流路 26a‧‧‧配管 26b‧‧‧配管 28‧‧‧氣體供給線 30‧‧‧上部電極 32‧‧‧絕緣性遮蔽構件 34‧‧‧頂板 36‧‧‧支持體 36a‧‧‧氣體擴散室 36b‧‧‧氣體流通孔 36c‧‧‧氣體導入口 38‧‧‧氣體供給管 40‧‧‧氣體源群 42‧‧‧閥群 44‧‧‧流量控制器群 46‧‧‧防沈積遮蔽構件 48‧‧‧排氣板 50‧‧‧排氣裝置 52‧‧‧排氣管 54‧‧‧閘閥 62‧‧‧第1高頻電源 64‧‧‧第2高頻電源 66、68‧‧‧匹配器 70‧‧‧電源 AL‧‧‧反射防止膜 Cnt‧‧‧控制部 DP‧‧‧沉積物 FR‧‧‧對焦環 ESC‧‧‧靜電吸盤 FR‧‧‧對焦環 LE‧‧‧下部電極 LO‧‧‧下部開口 MK、MKE‧‧‧遮罩 MO‧‧‧開口 MT‧‧‧方法 OL‧‧‧有機膜 OX‧‧‧氧化矽膜 PD‧‧‧載置台 R1‧‧‧第1區域 R2‧‧‧第2區域 RA‧‧‧***區域 RM‧‧‧光阻遮罩 RS‧‧‧殘渣 S‧‧‧處理空間 SB‧‧‧基板 SWF‧‧‧側壁面 ST1~ST22‧‧‧步驟 SQ1、SQ2‧‧‧程序 STa、STb‧‧‧步驟 TS‧‧‧頂面 UO‧‧‧上部開口 W、WE‧‧‧晶圓
圖1係顯示一實施形態之蝕刻方法的流程圖。 圖2係例示被處理體的剖面圖。 圖3係概略示意電漿處理裝置之一例的圖。 圖4係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖5係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖6係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖7係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖8係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖9係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖10係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖11係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖12係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖13係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖14係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖15係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖16係顯示圖1所示的方法之實施的中途階段之被處理體的剖面圖。 圖17係顯示實施圖1所示的方法後之被處理體的剖面圖。 圖18係顯示另一實施形態之蝕刻方法的流程圖。 圖19係顯示更另一實施形態之蝕刻方法的流程圖。 圖20(a) 、(b)係顯示實驗所使用之晶圓的圖。
MT‧‧‧方法
ST1~ST22‧‧‧步驟
SQ1、SQ2‧‧‧程序
STa、STb‧‧‧步驟

Claims (5)

  1. 一種蝕刻方法,對於由氮化矽構成之第2區域選擇性地蝕刻由氧化矽構成之第1區域; 設置為該第2區域界定凹部,該第1區域填埋該凹部,且覆蓋該第2區域,於該第1區域上設置遮罩; 該蝕刻方法,包含: 為了蝕刻該第1區域,而實行第1程序一次以上的步驟;以及 為了進一步蝕刻該第1區域,而實行第2程序一次以上的步驟; 該第1程序,包含: 第1步驟,其在電漿處理裝置之處理容器內產生包含氟碳化合物氣體之處理氣體的電漿,在具有該第1區域及該第2區域的被處理體上形成包含氟碳化合物之沉積物;以及 第2步驟,藉由該沉積物所包含的氟碳化合物之自由基蝕刻該第1區域; 實行第2程序一次以上的該步驟,係對藉由實行第1程序一次以上的該步驟所處理過之該被處理體實行; 該第2程序,包含: 第3步驟,其在該處理容器內,產生包含氟碳化合物氣體之處理氣體的電漿,在具有該第1區域及該第2區域的被處理體上形成包含氟碳化合物之沉積物;以及 第4步驟,在該處理容器內產生包含氧氣及惰性氣體之處理氣體的電漿。
  2. 如申請專利範圍第1項之蝕刻方法,其中, 在該第3步驟中為了產生該電漿而使用之高頻的電力,較在該第1步驟中為了產生該電漿而使用之高頻的電力更大。
  3. 如申請專利範圍第1或2項之蝕刻方法,其中, 在該處理容器中將該被處理體載置於載置台上; 在該第4步驟中設定的該處理容器內之空間的壓力,較在該第1步驟中設定的該處理容器內之空間的壓力更高; 在該第4步驟中對該載置台供給之高頻偏壓的電力,較在該第1步驟中對該載置台供給之高頻偏壓的電力更小。
  4. 如申請專利範圍第1或2項之蝕刻方法,其中, 該第1程序更包含:在該處理容器內產生包含氧氣及惰性氣體之處理氣體的電漿之步驟。
  5. 如申請專利範圍第1或2項之蝕刻方法,其中, 實行第1程序一次以上的該步驟,係在包含該第2區域露出時之期間中實行; 在實行第1程序一次以上的該步驟與實行第2程序一次以上的該步驟之間中,更包含:藉由在該處理容器內產生的包含氟碳化合物氣體之處理氣體的電漿,蝕刻該第1區域直至該凹部之底部為止的步驟。
TW105119359A 2015-06-24 2016-06-21 蝕刻方法 TWI692809B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-126710 2015-06-24
JP2015126710A JP6550278B2 (ja) 2015-06-24 2015-06-24 エッチング方法

Publications (2)

Publication Number Publication Date
TW201724252A TW201724252A (zh) 2017-07-01
TWI692809B true TWI692809B (zh) 2020-05-01

Family

ID=57602791

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119359A TWI692809B (zh) 2015-06-24 2016-06-21 蝕刻方法

Country Status (4)

Country Link
US (1) US9805945B2 (zh)
JP (1) JP6550278B2 (zh)
KR (1) KR102494293B1 (zh)
TW (1) TWI692809B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157793A (ja) 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP6861535B2 (ja) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
JP6820775B2 (ja) * 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
US11527413B2 (en) * 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000307001A (ja) * 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2787646B2 (ja) * 1992-11-27 1998-08-20 三菱電機株式会社 半導体装置の製造方法
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
US6165910A (en) * 1997-12-29 2000-12-26 Lam Research Corporation Self-aligned contacts for semiconductor device
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6716766B2 (en) 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP5701654B2 (ja) * 2011-03-23 2015-04-15 東京エレクトロン株式会社 基板処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000307001A (ja) * 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR102494293B1 (ko) 2023-01-31
TW201724252A (zh) 2017-07-01
JP6550278B2 (ja) 2019-07-24
KR20170000791A (ko) 2017-01-03
JP2017011167A (ja) 2017-01-12
US9805945B2 (en) 2017-10-31
US20160379841A1 (en) 2016-12-29

Similar Documents

Publication Publication Date Title
TWI761345B (zh) 蝕刻方法
TWI692809B (zh) 蝕刻方法
TWI684218B (zh) 蝕刻方法(三)
TWI682461B (zh) 被處理體之處理方法
CN105914144B (zh) 蚀刻方法
TWI713109B (zh) 蝕刻方法(一)
TWI722187B (zh) 蝕刻方法
TW201717276A (zh) 蝕刻方法
TWI766866B (zh) 蝕刻方法
KR102589406B1 (ko) 에칭 방법
CN105810582B (zh) 蚀刻方法
JP2015529014A (ja) 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
CN105810581B (zh) 蚀刻方法
CN105810579B (zh) 蚀刻方法
CN112437973A (zh) 等离子处理方法