TWI675933B - Film deposition method - Google Patents

Film deposition method Download PDF

Info

Publication number
TWI675933B
TWI675933B TW106106301A TW106106301A TWI675933B TW I675933 B TWI675933 B TW I675933B TW 106106301 A TW106106301 A TW 106106301A TW 106106301 A TW106106301 A TW 106106301A TW I675933 B TWI675933 B TW I675933B
Authority
TW
Taiwan
Prior art keywords
gas
film
plasma
substrate
forming method
Prior art date
Application number
TW106106301A
Other languages
Chinese (zh)
Other versions
TW201800597A (en
Inventor
加藤壽
Hitoshi Kato
村田昌弘
Masahiro Murata
佐藤潤
Jun Sato
三浦繁博
Shigehiro MIURA
Original Assignee
日商東京威力科創股份有限公司
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司, Tokyo Electron Limited filed Critical 日商東京威力科創股份有限公司
Publication of TW201800597A publication Critical patent/TW201800597A/en
Application granted granted Critical
Publication of TWI675933B publication Critical patent/TWI675933B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種成膜方法,具有下述工序:對基板之表面供給含Si氣體,使該含Si氣體吸附於該基板之該表面之工序;將氮化氣體以第1電漿來活性化而供給於該基板之該表面,使得該基板之該表面上所吸附之該含Si氣體氮化,來沉積SiN膜之工序;以及將以既定比率來包含NH3以及N2之改質氣體以第2電漿來活性化而供給於該基板之該表面,來將該基板之該表面上所沉積之該SiN膜改質之工序。 A film forming method includes the steps of: supplying a Si-containing gas to a surface of a substrate, and adsorbing the Si-containing gas to the surface of the substrate; and activating a nitriding gas by supplying a first plasma to the substrate. The surface of the substrate, so that the Si-containing gas adsorbed on the surface of the substrate is nitrided to deposit a SiN film; and a modified plasma containing NH 3 and N 2 at a predetermined ratio is a second plasma A process of activating and supplying the surface of the substrate to modify the SiN film deposited on the surface of the substrate.

Description

成膜方法 Film formation method

本發明係關於一種成膜方法。 The present invention relates to a film forming method.

自以往,如日本特開2015-165549號公報所記載般,已知有一種成膜方法,係在使用ALD(Atomic Layer Deposition,原子層沉積法)之成膜方法中,使用搭載有2個電漿產生機構之成膜裝置來進行成膜。 Conventionally, as described in Japanese Patent Application Laid-Open No. 2015-165549, there is known a film formation method. In a film formation method using ALD (Atomic Layer Deposition), two electrodes are used. The film is formed by the film forming device of the slurry generating mechanism.

相關日本特開2015-165549號公報所記載之成膜裝置,係以於真空容器內具有旋轉台,可於旋轉台上載置基板的方式所構成。此外,成膜裝置具備有:第1處理氣體供給機構,係對基板表面供給第1處理氣體;第1電漿處理用氣體供給機構,係供給第1電漿處理用氣體;以及第2電漿處理用氣體供給機構,係供給第2電漿處理用氣體。進而,成膜裝置具備有將第1電漿處理用氣體電漿化之第1電漿產生機構、以及將第2電漿處理用氣體電漿化之第2電漿產生機構,第2電漿產生機構與旋轉台之距離會設定為較第1電漿產生機構與旋轉台之距離要來得短。藉此,便可使得第2電漿處理用氣體之離子能量以及自由基濃度高於第1電漿處理用氣體之離子能量以及自由基濃度。 The film-forming apparatus described in Japanese Patent Application Laid-Open No. 2015-165549 has a rotary table in a vacuum container, and a substrate can be placed on the rotary table. In addition, the film forming apparatus includes a first processing gas supply mechanism for supplying the first processing gas to the substrate surface, a first plasma processing gas supply mechanism for supplying the first plasma processing gas, and a second plasma. The processing gas supply mechanism supplies a second plasma processing gas. Furthermore, the film forming apparatus includes a first plasma generating mechanism that plasma-converts the first plasma processing gas, a second plasma generating mechanism that plasma-converts the second plasma processing gas, and a second plasma. The distance between the generating mechanism and the rotary table is set to be shorter than the distance between the first plasma generating mechanism and the rotary table. Thereby, the ion energy and the radical concentration of the second plasma processing gas can be made higher than the ion energy and the radical concentration of the first plasma processing gas.

藉由使用具有相關構造之成膜裝置,而從第1處理氣體供給機構供給含矽氣體,從第1電漿處理用氣體供給機構供給NH3,從第2電漿處理用氣體供給機構供給NH3/Ar/H2之混合氣體,便可將基板所吸附之含矽氣體以離子能量以及自由基濃度低的NH3來氮化,接著以離子能量以及自由基濃度低的NH3/Ar/H2之混合氣體來進行改質處理,而可抑制面內成膜量取決於圖案表面積而變化之所謂的負荷效應(loading effect)。 By using a film forming apparatus having a related structure, silicon-containing gas is supplied from the first processing gas supply mechanism, NH 3 is supplied from the first plasma processing gas supply mechanism, and NH 3 is supplied from the second plasma processing gas supply mechanism. 3 / Ar / H 2 mixed gas, the silicon-containing gas adsorbed on the substrate can be nitrided with NH 3 with low ion energy and low free radical concentration, and then NH 3 / Ar / with low ion energy and low free radical concentration. The mixed gas of H 2 is used for the modification treatment, and the so-called loading effect that suppresses the amount of in-plane film formation depending on the pattern surface area can be suppressed.

但是,即便是在使用上述日本特開2015-165549號公報所記載之成膜方 法的情況,仍會有旋轉台半徑方向上之基板端部的成膜不充分之情況,而有需要面內均勻性進而提高之情況。 However, even if the film-forming method described in Japanese Patent Application Laid-Open No. 2015-165549 is used In the case of the method, film formation at the end of the substrate in the radial direction of the turntable may be insufficient, and in-plane uniformity may be required to be further improved.

是以,本發明之目的在於提供一種可提高面內均勻性之成膜方法。 Therefore, an object of the present invention is to provide a film forming method capable of improving in-plane uniformity.

為了達成上述目的,本發明一態樣相關之成膜方法,係具有下述工序:對基板之表面供給含Si氣體,使該含Si氣體吸附於該基板之該表面之工序;將氮化氣體以第1電漿來活性化而供給於該基板之該表面,使得該基板之該表面上所吸附之該含Si氣體氮化,來沉積SiN膜之工序;以及將以既定比率來包含NH3以及N2之改質氣體以第2電漿來活性化而供給於該基板之該表面,來將該基板之該表面上所沉積之該SiN膜改質之工序。 In order to achieve the above object, a film forming method related to the present invention includes the following steps: a step of supplying a Si-containing gas to a surface of a substrate, and adsorbing the Si-containing gas to the surface of the substrate; A process in which a first plasma is activated and supplied to the surface of the substrate so that the Si-containing gas adsorbed on the surface of the substrate is nitrided to deposit a SiN film; and NH 3 is contained at a predetermined ratio And the modified gas of N 2 is activated by a second plasma and supplied to the surface of the substrate to modify the SiN film deposited on the surface of the substrate.

1‧‧‧真空容器 1‧‧‧Vacuum container

2‧‧‧旋轉台 2‧‧‧ Rotary Stage

4‧‧‧凸狀部 4‧‧‧ convex

12‧‧‧容器本體 12‧‧‧ container body

15‧‧‧搬送口 15‧‧‧ port

24‧‧‧凹部 24‧‧‧ Recess

31‧‧‧原料氣體噴嘴 31‧‧‧feed gas nozzle

32‧‧‧第1電漿處理用氣體噴嘴 32‧‧‧The first gas nozzle for plasma processing

33‧‧‧第2電漿處理用氣體噴嘴 33‧‧‧ 2nd gas nozzle for plasma processing

41‧‧‧分離氣體噴嘴 41‧‧‧ separation gas nozzle

42‧‧‧分離氣體噴嘴 42‧‧‧ separation gas nozzle

51‧‧‧分離氣體供給管 51‧‧‧Separation gas supply pipe

61‧‧‧第1排氣口 61‧‧‧The first exhaust port

62‧‧‧第2排氣口 62‧‧‧Second exhaust port

81a‧‧‧(第1)電漿產生器 81a‧‧‧ (No. 1) Plasma generator

81b‧‧‧(第2)電漿產生器 81b‧‧‧ (No. 2) Plasma generator

84‧‧‧匹配器 84‧‧‧ Matcher

85‧‧‧高頻電源 85‧‧‧High-frequency power

86‧‧‧連接電極 86‧‧‧Connecting electrode

96‧‧‧支撐部 96‧‧‧ support

100‧‧‧側環 100‧‧‧side ring

101‧‧‧氣體流路 101‧‧‧Gas flow path

C‧‧‧中心部區域 C‧‧‧ Central area

D‧‧‧分離區域 D‧‧‧ separation area

P1‧‧‧第1處理區域 P1‧‧‧The first processing area

P2‧‧‧第2處理區域 P2‧‧‧The second processing area

P3‧‧‧第3處理區域 P3‧‧‧The third processing area

W‧‧‧晶圓 W‧‧‧ Wafer

圖1係實施本發明之實施形態相關的成膜方法之成膜裝置一例的概略縱截面圖。 FIG. 1 is a schematic longitudinal cross-sectional view of an example of a film forming apparatus that performs a film forming method according to an embodiment of the present invention.

圖2係圖1之成膜裝置的概略俯視圖。 FIG. 2 is a schematic plan view of the film forming apparatus of FIG. 1. FIG.

圖3係沿著圖1之成膜裝置之旋轉台的同心圓之截面圖。 FIG. 3 is a cross-sectional view of a concentric circle along a rotary table of the film forming apparatus of FIG. 1. FIG.

圖4係顯示電漿產生部一例之縱截面圖。 Fig. 4 is a longitudinal sectional view showing an example of a plasma generating section.

圖5係顯示圖1之成膜裝置的電漿產生部一例之立體分解圖。 FIG. 5 is an exploded perspective view showing an example of a plasma generating section of the film forming apparatus of FIG. 1.

圖6係顯示於圖1之成膜裝置的電漿產生部所設之架框一例之立體圖。 FIG. 6 is a perspective view showing an example of a frame provided in a plasma generating section of the film forming apparatus of FIG. 1. FIG.

圖7係顯示圖1之成膜裝置的電漿產生部一例之俯視圖。 FIG. 7 is a plan view showing an example of a plasma generating section of the film forming apparatus of FIG. 1.

圖8係顯示於電漿產生部所設之法拉第屏蔽件的一部分之立體圖。 8 is a perspective view showing a part of a Faraday shield provided in a plasma generating section.

圖9係顯示通過和旋轉台之旋轉方向為大致平行之晶圓中心之橫軸上的比較例、實施例1~5以及參考例相關之成膜方法的實施結果之圖式。 FIG. 9 is a diagram showing the results of implementation of the film formation method according to Comparative Examples, Examples 1 to 5, and Reference Examples on the horizontal axis of the wafer center that is approximately parallel to the rotation direction of the turntable.

圖10係顯示通過和旋轉台之半徑方向為平行之晶圓中心之縱軸上的比較例、實施例1~5以及參考例相關之成膜方法的實施結果之圖式。 FIG. 10 is a diagram showing the results of implementation of the film formation method according to the comparative example, the first to fifth embodiments, and the reference example on the vertical axis of the wafer center parallel to the radial direction of the turntable.

圖11係從面內均勻性之觀點來顯示比較例、實施例1~6以及參考例相關的成膜方法之成膜結果的圖式。 11 is a view showing film formation results of film formation methods related to Comparative Examples, Examples 1 to 6, and Reference Examples from the viewpoint of in-plane uniformity.

圖12係顯示於比較例、實施例1~6以及參考例之晶圓上所成膜出之SiN膜之均勻性的計算結果。 FIG. 12 shows the calculation results of the uniformity of the SiN film formed on the wafers of Comparative Examples, Examples 1 to 6, and Reference Examples.

圖13係顯示實施例4與比較例之X軸上之膜厚分布的實施結果。 FIG. 13 shows the implementation results of the film thickness distribution on the X axis in Example 4 and Comparative Examples.

圖14係顯示實施例4與比較例之Y軸上之膜厚分布的實施結果。 FIG. 14 shows the implementation results of the film thickness distribution on the Y axis in Example 4 and Comparative Examples.

以下,便參見圖式來進行實施本發明之形態的說明。 Hereinafter, embodiments of the present invention will be described with reference to the drawings.

〔成膜裝置之構成〕 [Configuration of Film Forming Device]

圖1係顯示實施本發明之實施形態相關的成膜方法之成膜裝置一例的概略縱截面圖。又,圖2係顯示實施本發明之實施形態相關的成膜方法之成膜裝置一例的概略俯視圖。此外,圖2中,基於說明方便起見係省略了頂板11之描繪。 FIG. 1 is a schematic longitudinal cross-sectional view showing an example of a film forming apparatus that performs a film forming method according to an embodiment of the present invention. FIG. 2 is a schematic plan view showing an example of a film forming apparatus that performs a film forming method according to an embodiment of the present invention. In addition, in FIG. 2, the depiction of the top plate 11 is omitted for convenience of description.

如圖1所示,實施本發明實施形態相關之成膜方法的成膜裝置具備有:真空容器1,係平面形狀為大致圓形;以及,旋轉台2,係設置於此真空容器1內,並於真空容器1中心具有旋轉中心且用以使晶圓W公轉。 As shown in FIG. 1, a film forming apparatus that implements a film forming method according to an embodiment of the present invention includes: a vacuum container 1 whose plane shape is approximately circular; A center of rotation is provided at the center of the vacuum container 1 to revolve the wafer W.

真空容器1係用以於內部處理基板之處理室。真空容器1具備有:頂板(天花板部)11,係設置於和旋轉台2之後述凹部24呈對向之位置;以及容器本體12。又,於容器本體12上面的周緣部係設有以環狀所設之密封構件13。然後,頂板11係以可從容器本體12裝卸的方式所構成。俯視上,真空容器1之直徑尺寸(內徑尺寸)並無限定,可設定為例如1100mm左右。 The vacuum container 1 is a processing chamber for internally processing a substrate. The vacuum container 1 includes a top plate (ceiling portion) 11 provided at a position facing the recessed portion 24 described later on the turntable 2, and a container body 12. Further, a sealing member 13 provided in a ring shape is provided on the peripheral edge portion of the upper surface of the container body 12. The top plate 11 is configured to be detachable from the container body 12. In plan view, the diameter size (inner diameter size) of the vacuum container 1 is not limited, and can be set to about 1100 mm, for example.

於真空容器1內之上面側的中央部連接著分離氣體供給管51,係供給分離氣體以抑制互異之處理氣體彼此會於真空容器1內之中心部區域C中混合。 A separation gas supply pipe 51 is connected to a central portion on the upper surface side in the vacuum container 1 and supplies separation gas to suppress mutually different process gases from mixing in a central portion region C in the vacuum container 1.

旋轉台2係以中心部來被固定於概略圓筒形狀之核心部21,且構成為藉由驅動部23而相對於此核心部21之下面所連接並延伸於垂直方向上的旋轉軸22繞垂直軸(圖2所示例中為繞順時鐘)來旋轉自如。旋轉台2之直徑尺寸並無限定,可設定為例如1000mm左右。 The turntable 2 is fixed to a substantially cylindrical core portion 21 with a central portion, and is configured such that a rotation shaft 22 connected to a lower portion of the core portion 21 by the drive portion 23 and extending in a vertical direction is wound around the core portion 21. The vertical axis (clockwise in the example shown in Figure 2) rotates freely. The diameter size of the turntable 2 is not limited, and it can be set to about 1000 mm, for example.

旋轉軸22以及驅動部23係被收納於盒體20,此盒體20係上面側的凸緣部分會被氣密地安裝於真空容器1之底面部14下面。此外,此盒體20連接著沖洗氣體供給管72以對於旋轉台2之下方區域供給氮氣體等來做為 沖洗氣體(分離氣體)。 The rotating shaft 22 and the driving portion 23 are housed in a case 20, and the flange portion on the upper side of the case 20 is hermetically mounted below the bottom surface portion 14 of the vacuum container 1. In addition, the box body 20 is connected to a flushing gas supply pipe 72 to supply a nitrogen gas or the like to the area below the turntable 2. Purge gas (separation gas).

真空容器1之底面部14的核心部21外周側係以從下方側接近旋轉台2的方式來形成為環狀而成為突出部12a。 The outer peripheral side of the core portion 21 of the bottom surface portion 14 of the vacuum container 1 is formed in a ring shape so as to approach the turntable 2 from the lower side and becomes a protruding portion 12 a.

於旋轉台2之表面部形成有用以載置直徑尺寸為例如300mm之晶圓W的圓形狀凹部24來做為基板載置區域。此凹部24係沿著旋轉台2之旋轉方向而設置於複數部位(例如5部位)。凹部24具有之內徑會較晶圓W之直徑來得略大,具體而言為大出1mm至4mm左右。此外,凹部24之深度會構成為和晶圓W之厚度大致相等或是較晶圓W之厚度來得大。從而,一旦晶圓W被收容於凹部24,則晶圓W之表面與旋轉台2未載置晶圓W之區域的表面便會成為相同高度,或是晶圓W之表面會較旋轉台2之表面來得低。此外,即使在凹部24之深度會較晶圓W之厚度來得深之情況,由於若過深會對於成膜造成影響,故最好是達晶圓W之厚度的3倍左右之深度。 On the surface portion of the turntable 2, a circular recessed portion 24 on which a wafer W having a diameter of, for example, 300 mm is placed is formed as a substrate placement area. The recessed portions 24 are provided at a plurality of locations (for example, five locations) along the rotation direction of the turntable 2. The inner diameter of the recessed portion 24 is slightly larger than the diameter of the wafer W, and specifically, it is about 1 mm to 4 mm larger. In addition, the depth of the recessed portion 24 is configured to be substantially equal to or larger than the thickness of the wafer W. Therefore, once the wafer W is accommodated in the recess 24, the surface of the wafer W and the surface of the area where the wafer W is not placed on the turntable 2 will become the same height, or the surface of the wafer W will be higher than that of the turntable 2. The surface comes low. In addition, even in the case where the depth of the recessed portion 24 is deeper than the thickness of the wafer W, if the depth is too great to affect film formation, it is preferable to have a depth of about three times the thickness of the wafer W.

此外,於晶圓W之表面形成有槽渠、通孔等凹陷圖案。本發明之實施形態相關的成膜方法由於為適於對凹陷圖案內進行填埋成膜之方法,故可適宜地適用於表面形成有凹陷圖案而進行晶圓W之填埋成膜。 In addition, recessed patterns such as trenches and through holes are formed on the surface of the wafer W. Since the film formation method according to the embodiment of the present invention is a method suitable for landfill film formation in a recessed pattern, it can be suitably applied to landfill film formation of a wafer W with a recessed pattern formed on the surface.

於凹部24之底面形成有讓用以將晶圓W從下方側上頂而升降的例如後述3根的升降銷貫通的未圖示之貫通孔。 A through hole (not shown) is formed in the bottom surface of the recessed portion 24 so as to penetrate, for example, three lifting pins described later to lift and lower the wafer W from the lower side.

如圖2所示,在和旋轉台2之凹部24的通過區域成為對向之位置係於真空容器1之周圍方向上相互保持間隔且呈放射狀地配置有例如由石英所構成之複數根(例如5根)的噴嘴31、32、33、41、42。此等個別的噴嘴31、32、33、41、42係配置於旋轉台2與頂板11之間。又,此等個別的噴嘴31、32、33、41、42係以例如從真空容器1外周壁朝中心部區域C而對向於晶圓W做水平延伸的方式來加以安裝。 As shown in FIG. 2, a plurality of pieces made of, for example, quartz (for example, quartz) are radially arranged at a position opposite to the passage area of the recessed portion 24 of the turntable 2 in a circumferential direction of the vacuum container 1. For example, 5 nozzles 31, 32, 33, 41, 42. These individual nozzles 31, 32, 33, 41, and 42 are arranged between the turntable 2 and the top plate 11. The individual nozzles 31, 32, 33, 41, and 42 are mounted so as to extend horizontally toward the wafer W from the outer peripheral wall of the vacuum container 1 toward the central region C, for example.

圖2所示之例中,從原料氣體噴嘴31繞順時鐘(旋轉台2之旋轉方向)來依序配置有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴33、分離氣體噴嘴41。但是,本實施形態相關之成膜裝置並不限定於此形態,旋轉台2之旋轉方向亦可為繞逆時鐘,於此情況,便從原料氣體噴嘴31繞逆時鐘來依序配置有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴33、分離氣體噴嘴41。 In the example shown in FIG. 2, the separation gas nozzle 42, the first plasma processing gas nozzle 32, and the second plasma processing are arranged in order from the source gas nozzle 31 in a clockwise direction (the rotation direction of the rotary table 2). The gas nozzle 33 and the separation gas nozzle 41. However, the film forming apparatus related to this embodiment is not limited to this mode, and the rotation direction of the rotary table 2 may be a counterclockwise clock. In this case, the separation gas is sequentially arranged from the source gas nozzle 31 around the counterclockwise clock. The nozzle 42, the first plasma processing gas nozzle 32, the second plasma processing gas nozzle 33, and the separation gas nozzle 41.

於第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴33之上方側如 圖2所示,係為了將從個別電漿處理用氣體噴嘴所噴出之氣體電漿化,而分別設有電漿產生器81a、81b。關於此等電漿產生器81a、81b將於後述。 On the upper side of the first plasma processing gas nozzle 32 and the second plasma processing gas nozzle 33, As shown in FIG. 2, plasma generators 81 a and 81 b are respectively provided for plasma-spraying the gas ejected from individual plasma processing gas nozzles. These plasma generators 81a and 81b will be described later.

此外,本實施形態中雖顯示了於個別處理區域配置1個噴嘴之例,但亦可構成為於個別處理區域配置複數噴嘴。例如,第1電漿處理用氣體噴嘴32可構成為由複數電漿處理用氣體噴嘴所構成,而分別供給後述氬(Ar)氣、氨(NH3)氣、氫(H2)氣等,或是亦可構成為僅配置1個的電漿處理用氣體噴嘴,而供給氬氣、氨氣以及氫氣之混合氣體。 In this embodiment, an example in which one nozzle is arranged in an individual processing area is shown, but a plurality of nozzles may be arranged in an individual processing area. For example, the first plasma processing gas nozzle 32 may be configured by a plurality of plasma processing gas nozzles, and may be supplied with argon (Ar) gas, ammonia (NH 3 ) gas, hydrogen (H 2 ) gas, etc., which will be described later, Alternatively, only one gas nozzle for plasma processing may be arranged to supply a mixed gas of argon, ammonia, and hydrogen.

原料氣體噴嘴31係成為原料氣體供給部。又,第1電漿處理用氣體噴嘴32係成為第1電漿處理用氣體供給部,第2電漿處理用氣體噴嘴33係成為第2電漿處理用氣體供給部。再者,分離氣體噴嘴41、42係分別成為分離氣體供給部。此外,分離氣體亦可如上述般稱為沖洗氣體。 The source gas nozzle 31 serves as a source gas supply unit. The first plasma processing gas nozzle 32 serves as a first plasma processing gas supply unit, and the second plasma processing gas nozzle 33 serves as a second plasma processing gas supply unit. The separation gas nozzles 41 and 42 each serve as a separation gas supply unit. The separation gas may be referred to as a flushing gas as described above.

各噴嘴31、32、33、41、42經由流量調整閥而連接於未圖示之個別的氣體供給源。 Each of the nozzles 31, 32, 33, 41, and 42 is connected to a separate gas supply source (not shown) through a flow control valve.

原料氣體噴嘴31所供給之原料氣體為含矽氣體。做為含矽氣體之一例可舉出DCS〔二氯矽烷〕、二矽烷(Si2H6)、HCD〔六氯二矽烷〕、DIPAS〔二異丙基胺基矽烷〕、3DMAS〔三(二甲胺基)矽烷〕、BTBAS〔二(特丁胺基)矽烷〕等氣體。 The source gas supplied from the source gas nozzle 31 is a silicon-containing gas. Examples of silicon-containing gases include DCS [dichlorosilane], disilane (Si 2 H 6 ), HCD [hexachlorodisilane], DIPAS [diisopropylaminosilane], 3DMAS [tris (di Methylamino) silane], BTBAS [bis (tert-butylamino) silane] and other gases.

原料氣體噴嘴31所供給之原料氣體除了含矽氣體以外,尚可使用TiCl4〔四氯化鈦〕、Ti(MPD)(THD)[(甲基戊二酮酸)(雙四甲基庚二酮酸)-鈦]、TMA〔三甲基鋁〕、TEMAZ〔四(乙基甲基胺基酸)-鋯〕、TEMHF〔四(乙基甲基胺基酸)-鉿〕、Sr(THD)2〔二(四甲基庚二酮酸)-鍶〕等含金屬氣體。 In addition to the silicon-containing gas, the raw material gas supplied from the raw material gas nozzle 31 may be TiCl 4 [titanium tetrachloride], Ti (MPD) (THD) [(methylglutaric acid) (bistetramethylheptane) Keto acid) -titanium], TMA [trimethylaluminum], TEMAZ [tetra (ethylmethylamino acid) -zirconium], TEMHF [tetra (ethylmethylamino acid) -fluorene], Sr (THD ) 2 [Di (tetramethylheptanedioic acid) -strontium] and other metal-containing gases.

第1電漿處理用氣體噴嘴32所供給之第1電漿處理用氣體係選擇含氨(NH3)氣體來做為氮化氣體。藉由使用NH3,便可對於含有凹陷圖案之晶圓W表面上供給屬於氮化源之NH2*,而使得含矽氣體氮化來沉積SiN之分子層。此外,在NH3以外之氣體方面亦可依必要性來含有H2氣體、Ar等,此等混合氣體會從第1電漿處理用氣體噴嘴32供給,並藉由第1電漿產生器81a所產生之電漿來被活性化(離子化或是自由基化)。 The first plasma processing gas system supplied from the first plasma processing gas nozzle 32 selects an ammonia (NH 3 ) -containing gas as the nitriding gas. By using NH 3 , NH 2 *, which is a nitride source, can be supplied to the surface of the wafer W containing the depression pattern, so that the silicon-containing gas is nitrided to deposit a molecular layer of SiN. In addition, gases other than NH 3 may contain H 2 gas, Ar, and the like as necessary. These mixed gases are supplied from the first plasma processing gas nozzle 32 and passed through the first plasma generator 81 a. The generated plasma is activated (ionized or radicalized).

第2電漿處理用氣體噴嘴33所供給之第2電漿處理用氣體為了提高NH3之氮化力係選擇含有NH3與N2兩者之含NH3/N2氣體。藉由在NH3添加N2,便可產生NH*以及NH2*之兩者,而可提高氮化力。此外,關於此 機制之細節將於後述。 The second plasma processing gas nozzle 33 of the second plasma gas is supplied in order to improve the NH 3 nitride selected force system containing NH 3 and N 2 containing both the NH 3 / N 2 gas. By adding N 2 to NH 3 , both NH * and NH 2 * can be generated, and the nitriding power can be improved. In addition, details about this mechanism will be described later.

含NH3/N2氣體可依必要性來含有Ar氣體、H2氣體等做為NH3/N2以外之氣體,此等混合氣體可從第2電漿處理用氣體噴嘴33做為第2電漿處理用氣體來加以供給。 The NH 3 / N 2 -containing gas may contain Ar gas, H 2 gas, etc. as a gas other than NH 3 / N 2 as necessary, and the mixed gas may be the second plasma processing gas nozzle 33 as the second gas. The plasma treatment is supplied with a gas.

如此般,第1電漿處理用氣體與第2電漿處理用氣體在包括組成比之整體上係選擇不同的氣體。 In this manner, the first plasma processing gas and the second plasma processing gas are selected from different gases as a whole including the composition ratio.

分離氣體噴嘴41、42所供給之分離氣體可舉出例如氮(N2)氣等。 Examples of the separation gas supplied from the separation gas nozzles 41 and 42 include nitrogen (N 2 ) gas.

如上述般,圖2所示之例中,從原料氣體噴嘴31繞順時鐘(旋轉台2之旋轉方向)來依序配置有分離氣體噴嘴42、第1電漿處理用氣體噴嘴32、第2電漿處理用氣體噴嘴33、分離氣體噴嘴41。亦即,在晶圓W之實際處理中,於包含凹陷圖案之表面吸附有從原料氣體噴嘴31所供給之含Si氣體的晶圓W係依序暴露於下述氣體中:來自分離氣體噴嘴42之分離氣體、來自第1電漿處理用氣體噴嘴32之電漿處理用氣體、來自第2電漿處理用氣體噴嘴33之電漿處理用氣體、來自分離氣體噴嘴41之分離氣體。 As described above, in the example shown in FIG. 2, the separation gas nozzle 42, the first plasma processing gas nozzle 32, and the second plasma gas nozzle 31 are arranged in order from the source gas nozzle 31 around the clock (the rotation direction of the rotary table 2). Plasma processing gas nozzle 33 and separation gas nozzle 41. That is, in the actual processing of the wafer W, the wafer W on which the Si-containing gas supplied from the source gas nozzle 31 is adsorbed on the surface including the recessed pattern is sequentially exposed to the following gas: from the separation gas nozzle 42 The separation gas, the plasma processing gas from the first plasma processing gas nozzle 32, the plasma processing gas from the second plasma processing gas nozzle 33, and the separation gas from the separation gas nozzle 41.

於此等噴嘴31、32、33、41、42之下面側(對向於旋轉台2之側)係沿著旋轉台2之半徑方向而於複數部位例如等間隔地形成有用以噴出上述各氣體之氣體噴出孔35。各噴嘴31、32、33、41、42之個別的下端緣與旋轉台2上面的分離距離會以成為例如1~5mm左右的方式來加以配置。 The lower sides of the nozzles 31, 32, 33, 41, and 42 (the side opposite to the turntable 2) are formed at a plurality of locations along the radial direction of the turntable 2, for example, at regular intervals to spray the above gases. The gas ejection hole 35. The separation distance between the respective lower end edges of the nozzles 31, 32, 33, 41, and 42 and the upper surface of the turntable 2 is arranged, for example, to be about 1 to 5 mm.

原料氣體噴嘴31之下方區域為用以讓含Si氣體吸附於晶圓W之第1處理區域P1。又,第1電漿處理用氣體噴嘴32之下方區域會成為對晶圓W上之薄膜進行第1電漿處理用的第2處理區域P2,第2電漿處理用氣體噴嘴33之下方區域會成為對晶圓W上之薄膜進行第2電漿處理用的第3處理區域P3。 The region below the source gas nozzle 31 is a first processing region P1 for allowing Si-containing gas to be adsorbed on the wafer W. The area below the first plasma processing gas nozzle 32 becomes the second processing area P2 for performing the first plasma processing on the film on the wafer W, and the area below the second plasma processing gas nozzle 33 becomes the The third processing region P3 for performing the second plasma processing on the thin film on the wafer W is formed.

圖3顯示沿著成膜裝置之旋轉台的同心圓之截面圖。此外,圖3為從分離區域D經過第1處理區域P1到達分離區域D之截面圖。 FIG. 3 shows a cross-sectional view of a concentric circle along a rotary table of the film forming apparatus. In addition, FIG. 3 is a cross-sectional view from the separation region D to the separation region D through the first processing region P1.

分離區域D中在真空容器1之頂板11設有概略扇形之凸狀部4。凸狀部4係被安裝於頂板11內面,於真空容器1內形成有為凸狀部4下面的平坦的低天花板面44(第1天花板面)以及位於此天花板面44之周圍方向兩側而較天花板面44來得高的天花板面45(第2天花板面)。 In the separation region D, a substantially fan-shaped convex portion 4 is provided on the top plate 11 of the vacuum container 1. The convex portion 4 is attached to the inner surface of the top plate 11, and a flat low ceiling surface 44 (first ceiling surface) below the convex portion 4 is formed in the vacuum container 1 and is located on both sides of the ceiling surface 44 in the peripheral direction. A ceiling surface 45 (second ceiling surface) that is higher than the ceiling surface 44 is provided.

形成天花板面44之凸狀部4如圖2所示,係具有頂部被切斷為圓弧狀 之扇型的平面形狀。又,凸狀部4係於周圍方向中央以朝半徑方向延伸的方式來形成有溝槽部43,分離氣體噴嘴41、42係被收容於此溝槽部43內。此外,凸狀部4周緣部(真空容器1之外緣側部位)為了阻止各處理氣體彼此的混合,係以對向於旋轉台2外端面並相對於容器本體12而略為分離的方式來彎曲成為L字形。 As shown in FIG. 2, the convex portion 4 forming the ceiling surface 44 has a top portion which is cut into an arc shape. Fan-shaped flat shape. The convex portion 4 is formed with a groove portion 43 extending in the radial direction at the center of the peripheral direction, and the separation gas nozzles 41 and 42 are housed in the groove portion 43. In addition, the peripheral portion of the convex portion 4 (the outer edge portion of the vacuum container 1) is bent so as to face the outer end surface of the turntable 2 and slightly separate from the container body 12 in order to prevent mixing of the processing gases with each other. Become L-shaped.

於原料氣體噴嘴31之上方側係以為了使得第1處理氣體沿著晶圓W來流通,且使分離氣體避開晶圓W之附近而流通於真空容器1之頂板11側的方式來設有噴嘴蓋230。噴嘴蓋230如圖3所示,具備有:概略箱形之蓋體231,係為了收納原料氣體噴嘴31而往下面側開口;以及,屬於板狀體之整流板232,係分別連接於此蓋體231之下面側開口端中旋轉台2之旋轉方向上游側以及下游側。此外,旋轉台2旋轉中心側之蓋體231側壁面係以對向於原料氣體噴嘴31之前端部的方式來朝旋轉台2延伸而出。又,旋轉台2外緣側之蓋體231側壁面係以不會干擾到原料氣體噴嘴31的方式來被切除。 The upper side of the raw material gas nozzle 31 is provided so that the first processing gas flows along the wafer W, and the separation gas flows on the top plate 11 side of the vacuum container 1 to avoid the vicinity of the wafer W. Nozzle cover 230. As shown in FIG. 3, the nozzle cover 230 includes a roughly box-shaped cover body 231 which is opened to the lower side for accommodating the raw material gas nozzle 31, and a rectifying plate 232 which is a plate-shaped body and is connected to this cover, respectively. Among the open ends on the lower surface side of the body 231, the upstream and downstream sides of the turntable 2 in the rotation direction. In addition, the side wall surface of the cover 231 on the rotation center side of the turntable 2 extends toward the turntable 2 so as to face the front end portion of the raw material gas nozzle 31. In addition, the side wall surface of the cover body 231 on the outer edge side of the turntable 2 is cut away so as not to interfere with the raw material gas nozzle 31.

其次,就於第1電漿處理用氣體噴嘴32、33之上方側所分別配置之第1電漿產生器81a以及第2電漿產生器81b來詳細地說明。此外,本實施形態中,雖第1電漿產生器81a以及第2電漿產生器81b可實行各自獨立之電漿處理,但關於各自之具體構成則可使用同樣之物。 Next, the first plasma generator 81a and the second plasma generator 81b, which are respectively disposed above the first plasma processing gas nozzles 32 and 33, will be described in detail. In addition, in this embodiment, although the first plasma generator 81a and the second plasma generator 81b can perform separate plasma processing, the same thing can be used for each specific structure.

圖4係顯示電漿產生器一例之縱截面圖。又,圖5係顯示電漿產生器一例之立體分解圖。再者,圖6係顯示於電漿產生器所設之架框一例之立體圖。 Fig. 4 is a longitudinal sectional view showing an example of a plasma generator. 5 is an exploded perspective view showing an example of a plasma generator. Moreover, FIG. 6 is a perspective view showing an example of a frame provided in the plasma generator.

電漿產生器81a、81b係使得由金屬線等所形成之天線83以線圈狀例如繞垂直軸做3重捲繞而構成。又,電漿產生器81係以將俯視觀察下延伸於旋轉台2徑向上的帶狀體區域加以包圍的方式,且以橫跨旋轉台2上之晶圓W之直徑部分的方式來加以配置。 The plasma generators 81a and 81b are configured such that an antenna 83 formed of a metal wire or the like is wound three times in a coil shape, for example, around a vertical axis. The plasma generator 81 is arranged so as to surround a band-shaped body region extending in the radial direction of the turntable 2 in a plan view, and is arranged so as to straddle a diameter portion of the wafer W on the turntable 2. .

天線83係經由匹配器84而連接於頻率為例如13.56MHZ以及輸出電力為例如5000W之高頻電源85。然後,此天線83係以從真空容器1之內部區域被氣密區劃的方式來加以設置。此外,圖4中,係設有用以將天線83與匹配器84以及高頻電源85電性連接的連接電極86。 The antenna 83 is connected to a high-frequency power source 85 having a frequency of, for example, 13.56 MHz Z and an output power of, for example, 5000 W via the matching unit 84. Then, the antenna 83 is installed so as to be hermetically partitioned from the inner region of the vacuum container 1. In addition, in FIG. 4, a connection electrode 86 is provided for electrically connecting the antenna 83 with the matcher 84 and the high-frequency power source 85.

如圖4以及圖5所示,於第1電漿處理用氣體噴嘴32上方側的頂板11 形成有俯視觀察下開口為概略扇形的開口部11a。 As shown in FIGS. 4 and 5, the top plate 11 on the upper side of the first plasma processing gas nozzle 32 An opening portion 11a having an approximate fan shape in a plan view is formed.

開口部11a如圖4所示,係具有沿著開口部11a之開口邊緣部來氣密性設置於此開口部11a的環狀構件82。後述架框90係氣密地設置於此環狀構件82之內周面側。亦即,環狀構件82係氣密地設置於外周側會對向於頂板11所面對之開口部11a的內周面11b,且內周側會對向於後述架框90之凸緣部90a的位置。然後,開口部11a會經由此環狀構件82而設置有例如由石英等介電質所構成之架框90,來使得天線83位於較頂板11要下方側。 As shown in FIG. 4, the opening portion 11 a has a ring-shaped member 82 provided air-tightly along the opening edge portion of the opening portion 11 a. The later-described frame 90 is air-tightly provided on the inner peripheral surface side of the ring-shaped member 82. That is, the ring-shaped member 82 is provided in an airtight manner on the inner peripheral surface 11b of the outer peripheral side facing the opening 11a facing the top plate 11, and the inner peripheral side faces the flange portion facing the later-described frame 90. 90a's position. Then, the opening portion 11 a is provided with a frame 90 made of a dielectric such as quartz through the ring member 82 so that the antenna 83 is positioned below the top plate 11.

又,環狀構件82如圖4所示,係具有可於垂直方向進行伸縮之波紋管82a。又,電漿產生器81a、81b會藉由電動致動器等未圖示之驅動機構(升降機構)而形成為可各自獨立進行升降。藉由對應於電漿產生器81a、81b之升降而使得波紋管82a進行伸縮,來構成為能變更電漿處理時個別電漿產生器81a、81b與晶圓W(亦即旋轉台2)之間的距離(以下有時會稱為電漿生成空間之距離)。 Moreover, as shown in FIG. 4, the ring-shaped member 82 has the bellows 82a which can expand and contract in a vertical direction. In addition, the plasma generators 81a and 81b are formed so that they can be raised and lowered independently by a driving mechanism (elevating mechanism) (not shown) such as an electric actuator. The bellows 82a is expanded and contracted according to the rise and fall of the plasma generators 81a and 81b, so that the individual plasma generators 81a and 81b and the wafer W (that is, the rotary table 2) can be changed during the plasma processing. (Hereinafter sometimes referred to as the distance of the plasma generation space).

架框90如圖6所示般,係上方側之周緣部會沿著周圍方向來水平延伸出成為凸緣狀而成為凸緣部90a,且於俯視觀察下中央部會朝下方側之真空容器1之內部區域凹陷來形成。 As shown in FIG. 6, the frame 90 is a vacuum container that extends horizontally in the peripheral direction into a flange shape and becomes a flange portion 90 a along the surrounding direction, and the central portion faces the vacuum container on the lower side in plan view. The inner region of 1 is formed by depression.

架框90當晶圓W位於此架框90之下方的情況,係以橫跨旋轉台2之徑向上晶圓W之直徑部分的方式來加以配置。此外,於環狀構件82與頂板11之間設有O型環等之密封構件11c。 When the wafer frame W is positioned below the wafer frame 90, the wafer frame W is arranged so as to straddle the diameter portion of the wafer W in the radial direction of the turntable 2. A sealing member 11c such as an O-ring is provided between the ring-shaped member 82 and the top plate 11.

真空容器1之內部氛圍係經由環狀構件82以及架框90而被氣密地設定。具體而言,係使得環狀構件82以及架框90落入開口部11a內,接著藉由抵壓構件91(於環狀構件82以及架框90之上面,以沿著環狀構件82以及架框90之接觸部的方式來形成為框狀)將架框90朝下方側並橫跨周圍方向加以抵壓。再者,將此抵壓構件91以未圖示之螺釘等來固定於頂板11。藉此,真空容器1之內部氛圍便被設定為氣密。此外,圖5中,為簡化起見係省略顯示環狀構件82。 The internal atmosphere of the vacuum container 1 is air-tightly set via the ring-shaped member 82 and the frame 90. Specifically, the ring-shaped member 82 and the frame frame 90 are dropped into the opening portion 11a, and then the pressing member 91 (on top of the ring-shaped member 82 and the frame frame 90 is moved along the ring-shaped member 82 and the frame 90). The contact portion of the frame 90 is formed in a frame shape.) The frame frame 90 is pressed downwardly and across the surrounding direction. The pressing member 91 is fixed to the top plate 11 with screws or the like (not shown). Thereby, the internal atmosphere of the vacuum container 1 is set to be airtight. Note that in FIG. 5, the ring-shaped member 82 is omitted for simplicity.

如圖6所示,於架框90之下面,係以將該架框90之下方側的處理區域P2、P3分別沿著周圍方向加以包圍的方式來形成有朝旋轉台2垂直延伸出的突起部92。然後,被此突起部92內周面、架框90下面以及旋轉台2上面所包圍之區域中係收納著上述第1電漿處理用氣體噴嘴32以及第2電 漿處理用氣體噴嘴33。此外,第1電漿處理用氣體噴嘴32以及第2電漿處理用氣體噴嘴33之基端部(真空容器1之內壁側)的突起部92係沿著第2電漿處理用氣體噴嘴33外形而被切除為概略圓弧狀。 As shown in FIG. 6, protrusions extending vertically toward the turntable 2 are formed below the frame frame 90 so as to surround the processing regions P2 and P3 on the lower side of the frame frame 90 in the peripheral direction, respectively.部 92。 92. The first plasma processing gas nozzle 32 and the second electrode are contained in the area surrounded by the inner peripheral surface of the protrusion 92, the lower surface of the frame 90, and the upper surface of the turntable 2. Slurry processing gas nozzle 33. In addition, the projecting portion 92 at the base end portion (the inner wall side of the vacuum container 1) of the first plasma processing gas nozzle 32 and the second plasma processing gas nozzle 33 is along the second plasma processing gas nozzle 33. The shape is cut out into a roughly arc shape.

於架框90之下方側,如圖4所示,係橫跨周圍方向而形成有突起部92。密封構件11c會因此突起部92而避免直接暴露於電漿,亦即,從電漿生成區域受到隔離。是以,即使電漿意欲從電漿生成區域例如擴散至密封構件11c側,由於便要經由突起部92下方而前進,故電漿於到達密封構件11c之前即失活性。 As shown in FIG. 4, on the lower side of the frame 90, a protruding portion 92 is formed across the peripheral direction. The sealing member 11c may thus be prevented from being directly exposed to the plasma due to the protrusion 92, that is, it is isolated from the plasma generation region. Therefore, even if the plasma is intended to diffuse from the plasma generation area to, for example, the sealing member 11c side, since it is advanced through the protrusion 92, the plasma is deactivated before reaching the sealing member 11c.

於架框90上方側收納有處於接地狀態之法拉第屏蔽件95,係概略沿著該架框90之內部形狀來形成且由導電性板狀體之金屬板(例如銅等)所構成。此法拉第屏蔽件95具備有:水平面95a,係沿著架框90底面來水平地形成;以及垂直面95b,係從此水平面95a之外終端橫跨周圍方向而朝上方側延伸,並可構成為俯視觀察下例如成為概略六角形。 A grounded Faraday shield 95 is housed on the upper side of the frame 90, and is formed roughly along the internal shape of the frame 90 and is made of a metal plate (such as copper) of a conductive plate-like body. This Faraday shield 95 is provided with a horizontal plane 95a formed horizontally along the bottom surface of the frame 90, and a vertical plane 95b extended from the terminal outside the horizontal plane 95a to the upper side across the surrounding direction, and can be configured in a plan view. Observation is, for example, a rough hexagon.

圖7係顯示電漿產生器一例之俯視圖。圖8係顯示於電漿產生器所設之法拉第屏蔽件的一部分之立體圖。 Fig. 7 is a plan view showing an example of a plasma generator. Fig. 8 is a perspective view showing a part of a Faraday shield provided in a plasma generator.

從旋轉台2之旋轉中心來觀看法拉第屏蔽件95之情況時,右側以及左側之法拉第屏蔽件95的上端緣會分別往右側以及左側來水平延伸出而成為支撐部96。然後,於法拉第屏蔽件95與架框90之間設有框狀體99,係從下方側來支撐支撐部96並分別被架框90之中心部區域C側以及旋轉台2外緣部側的凸緣部90a所支撐。 When viewing the condition of the Faraday shield 95 from the rotation center of the turntable 2, the upper end edges of the Faraday shield 95 on the right and left sides extend horizontally to the right and left respectively to become the support portion 96. Then, a frame-shaped body 99 is provided between the Faraday shield 95 and the frame frame 90. The frame-shaped body 99 supports the support portion 96 from the lower side and is respectively supported by the center portion region C side of the frame frame 90 and the outer edge portion side of the turntable 2. The flange portion 90a is supported.

當天線83所生成之電場到達晶圓W之情況,會有於晶圓W內部所形成之圖案(電性配線等)會受到電性損害的情況。是以,便如圖8所示,於水平面95a形成有多數的狹縫97,以阻止天線83所產生之電場以及磁場(電磁場)當中的電場成分朝下方之晶圓W,並使得磁場到達晶圓W。 When the electric field generated by the antenna 83 reaches the wafer W, a pattern (electrical wiring, etc.) formed inside the wafer W may be electrically damaged. Therefore, as shown in FIG. 8, a large number of slits 97 are formed in the horizontal plane 95 a to prevent the electric field components generated by the antenna 83 and the magnetic field (electromagnetic field) from facing downward on the wafer W, so that the magnetic field reaches the crystal W Circle W.

狹縫97如圖7以及圖8所示,係以在相對於天線83之捲繞方向而正交之方向上延伸的方式,橫跨周圍方向來形成於天線83之下方位置。在此,狹縫97係以對應於天線83所供給之高頻而成為波長的1/10000以下左右之寬度尺寸的方式來加以形成。又,於個別狹縫97之長度方向上的一端側以及另一端側,係以阻塞此等狹縫97之開口端的方式,橫跨周圍方向來配置有由接地之導電體等所形成之導電路97a。法拉第屏蔽件95當中離開此等 狹縫97之形成區域的區域(亦即捲繞有天線83之區域)的中央側係形成有用以經由該區域來確認電漿之發光狀態的開口部98。此外,上述圖2中,為簡化起見係省略了狹縫97,將狹縫97之形成區域例以一點鏈線來加以表示。 As shown in FIG. 7 and FIG. 8, the slit 97 is formed below the antenna 83 so as to extend in a direction orthogonal to the winding direction of the antenna 83 across the surrounding direction. Here, the slit 97 is formed so as to have a width dimension of about 1/10000 or less in accordance with the high frequency supplied from the antenna 83. In addition, on one end side and the other end side in the longitudinal direction of the individual slits 97, a conductive circuit formed by a grounded conductor or the like is arranged across the surroundings so as to block the open ends of the slits 97. 97a. Faraday Shield 95 leaves these The center side of the area where the slit 97 is formed (that is, the area where the antenna 83 is wound) forms an opening 98 for confirming the light-emitting state of the plasma through the area. In addition, in FIG. 2 mentioned above, the slit 97 is omitted for the sake of simplicity, and an example of the area where the slit 97 is formed is shown by a one-dot chain line.

如圖5所示,於法拉第屏蔽件95之水平面95a上,為了確保法拉第屏蔽件95上方所載置之電漿產生器81a、81b之間的絕緣性,係積層有厚度尺寸為例如2mm左右之由石英等所形成之絕緣板94。亦即,電漿產生器81a、81b係分別經由架框90、法拉第屏蔽件95以及絕緣板94而以對向於真空容器1內部(旋轉台2上之晶圓W)的方式來加以配置。 As shown in FIG. 5, on the horizontal plane 95a of the Faraday shield 95, in order to ensure the insulation between the plasma generators 81a and 81b placed above the Faraday shield 95, the thickness of the tie layer is, for example, about 2 mm. An insulating plate 94 formed of quartz or the like. That is, the plasma generators 81a and 81b are arranged so as to face the inside of the vacuum container 1 (the wafer W on the turntable 2) via the frame 90, the Faraday shield 95, and the insulating plate 94, respectively.

如此般,雖第1電漿產生器81a與第2電漿產生器81b具有大致同樣的構成,但設置高度不同。亦即,旋轉台2表面與第1電漿產生器81a之間的距離,以及旋轉台2表面與第2電漿產生器81b之間的距離不同。這可藉由調整架框90之底面高度來輕易地使得高度不同。 As such, although the first plasma generator 81a and the second plasma generator 81b have substantially the same structure, the installation heights are different. That is, the distance between the surface of the turntable 2 and the first plasma generator 81a and the distance between the surface of the turntable 2 and the second plasma generator 81b are different. This can easily make the heights different by adjusting the height of the bottom surface of the frame 90.

具體而言,第1電漿產生器81a之高度係設定為高於第2電漿產生器81b之高度。如上述,第1電漿產生器81a之下方區域係形成為實質上由架框90所封閉之第2處理區域P2,而第2電漿產生器81b之下方區域亦形成為實質上由架框90所封閉之第3處理區域P3。從而,與旋轉台2之表面的距離較小者,亦即電漿產生器81a、81b會被較低設置者係形成較狹窄的空間。此處若將第2處理區域P2中之第1電漿產生器81a與旋轉台2表面之間的距離當作第1距離,而將第3處理區域P3中之第2電漿產生器81b與旋轉台2表面之間的距離當作第2距離,便會因第2距離較第1距離相對來得小,而使得第3處理區域P3中到達晶圓W的離子量會較第2處理區域P2來得多。從而,第3處理區域P3中到達晶圓W之自由基量亦會較第2處理區域P2來得多。 Specifically, the height of the first plasma generator 81a is set higher than the height of the second plasma generator 81b. As described above, the area below the first plasma generator 81a is formed as the second processing area P2 substantially enclosed by the frame 90, and the area below the second plasma generator 81b is also formed substantially as the frame The third processing area P3 enclosed by 90. Therefore, the smaller the distance from the surface of the rotary table 2, that is, the plasma generators 81a, 81b will be formed by the lower setter to form a narrower space. Here, if the distance between the first plasma generator 81a in the second processing area P2 and the surface of the rotary table 2 is taken as the first distance, and the second plasma generator 81b in the third processing area P3 and The distance between the surfaces of the turntable 2 is regarded as the second distance, and because the second distance is relatively smaller than the first distance, the amount of ions reaching the wafer W in the third processing region P3 is larger than that in the second processing region P2. Come much more. Therefore, the amount of radicals reaching the wafer W in the third processing region P3 is also much larger than that in the second processing region P2.

此外,第1電漿產生器81a與旋轉台2表面之間的第1距離,以及第2電漿產生器81b與旋轉台2表面之間的第2距離只要是第1距離大於第2距離的話,便可設定為各種數值,例如,可將第1距離設定為80mm以上,150mm以下,將第2距離設定為20mm以上,未達80mm之範圍內。然而,距離可依據用途做各種變更,而不限定於此等數值。 In addition, the first distance between the first plasma generator 81a and the surface of the rotary table 2 and the second distance between the second plasma generator 81b and the surface of the rotary table 2 are only required if the first distance is greater than the second distance. Can be set to various values. For example, the first distance can be set to 80mm or more and 150mm or less, and the second distance can be set to 20mm or more and less than 80mm. However, the distance can be variously changed depending on the application, and is not limited to these values.

再次就本實施形態相關之成膜裝置的其他構成要素來加以說明。 The other components of the film forming apparatus according to this embodiment will be described again.

於旋轉台2之外周側中,較旋轉台2要略為下方位置,如圖2所示,係配置有做為蓋體之側環100。於側環100之上面係以相互在周圍方向上分 離的方式來在例如2部位形成有排氣口61、62。換言之,於真空容器1之底面形成有2個排氣口,對應於此等排氣口之位置的側環100係形成有排氣口61、62。 The outer peripheral side of the rotary table 2 is slightly lower than the rotary table 2. As shown in FIG. 2, a side ring 100 as a cover is arranged. Place them on the side ring 100 in the direction of each other. For example, the exhaust ports 61 and 62 are formed at two places. In other words, two exhaust ports are formed on the bottom surface of the vacuum container 1, and the side rings 100 corresponding to the positions of these exhaust ports are formed with exhaust ports 61 and 62.

本說明書中,係將排氣口61、62當中之一者以及另一者分別稱為第1排氣口61以及第2排氣口62。此處,第1排氣口61係形成於分離氣體噴嘴42與相對於此分離氣體噴嘴42而位於旋轉台之旋轉方向下游側的第1電漿產生器81a之間。又,第2排氣口62係形成於第2電漿產生器81b與較此電漿產生器81b要靠旋轉台2之旋轉方向下游側之分離區域D之間。 In this specification, one of the exhaust ports 61 and 62 is referred to as a first exhaust port 61 and a second exhaust port 62, respectively. Here, the first exhaust port 61 is formed between the separation gas nozzle 42 and the first plasma generator 81a located on the downstream side of the turntable in the rotation direction with respect to the separation gas nozzle 42. The second exhaust port 62 is formed between the second plasma generator 81b and a separation region D located closer to the downstream side of the rotary table 2 than the plasma generator 81b.

第1排氣口61係用以排氣出第1處理氣體、分離氣體者,第2排氣口62係用以排氣出電漿處理用氣體、分離氣體者。此等第1排氣口61以及第2排氣口62係分別藉由介設有蝶閥等之壓力調整部65的排氣管63而連接於做為真空排氣機構之例如真空泵64。 The first exhaust port 61 is for exhausting the first processing gas and separating gas, and the second exhaust port 62 is for exhausting the plasma processing gas and separating gas. The first exhaust port 61 and the second exhaust port 62 are connected to, for example, a vacuum pump 64 serving as a vacuum exhaust mechanism through an exhaust pipe 63 provided with a pressure adjustment unit 65 such as a butterfly valve.

如上述,由於將架框90從中心部區域C側橫跨外緣側來加以配置,故相對於電漿處理區域P2、P3而從旋轉台2之旋轉方向上游側流通來的氣體會因此架框90而限制了意欲朝向排氣口62之氣流。是以,於較架框90要靠外周側之側環100上面係形成有用以流動氣體之溝槽狀的氣體流路101(參見圖1以及圖2)。 As described above, since the frame frame 90 is arranged across the outer edge side from the center region C side, the gas flowing from the upstream side of the rotation direction of the turntable 2 with respect to the plasma processing regions P2 and P3 is thereby racked. Box 90 restricts the airflow intended for the exhaust port 62. Therefore, a groove-shaped gas flow path 101 (see FIG. 1 and FIG. 2) with a flowing gas is formed on the side ring 100 on the outer peripheral side of the frame frame 90.

於頂板11下面的中央部如圖1所示設有突出部5,係和凸狀部4之中心部區域C側的部位相連續而橫跨周圍方向形成為概略環狀,且其下面是形成為和凸狀部4下面(天花板面44)相同的高度。較此突出部5要靠旋轉台2之旋轉中心側的核心部21上方側係配置有用以抑制各種氣體於中心部區域C彼此相混之曲徑構造部110。 As shown in FIG. 1, a central portion under the top plate 11 is provided with a protruding portion 5, which is continuous with the portion on the central portion region C side of the convex portion 4 and is formed in a roughly annular shape across the surrounding direction. It is the same height as the lower surface (ceiling surface 44) of the convex part 4. The protruding portion 5 is arranged on the upper side of the core portion 21 on the rotation center side of the turntable 2. The labyrinth structure portion 110 is configured to prevent various gases from being mixed with each other in the central portion region C.

如上述,由於架框90係形成到靠近中心部區域C側之位置,故支撐旋轉台2之中央部的核心部21便會以旋轉台2之上方側的部位會避開架框90的方式來形成於旋轉中心側。是以,中心部區域C側便會成為相較於外緣部側,各種氣體彼此更容易混合之狀態。是以,藉由於核心部21上方側形成曲徑構造部110,便可增加氣體流路,以防止氣體彼此相混。 As described above, since the frame 90 is formed near the center region C side, the core portion 21 supporting the central portion of the turntable 2 will avoid the frame 90 in a position above the turntable 2. It is formed on the rotation center side. Therefore, the side of the center portion region C becomes a state where various gases are more easily mixed with each other than the outer edge portion side. Therefore, since the labyrinth structure portion 110 is formed on the upper side of the core portion 21, the gas flow path can be increased to prevent gas from being mixed with each other.

更具體而言,曲徑構造部110所具有的構造為:從旋轉台2側朝頂板11側做垂直延伸之壁部與從頂板11側朝旋轉台2做垂直延伸之壁部會分別橫跨周圍方向而形成,且於旋轉台2之半徑方向上交互配置。曲徑構造部 110中,例如原料氣體噴嘴31所噴出而意欲往中心部區域C之第1處理氣體必須穿越曲徑構造部110。是以,隨著愈往中心部區域C而流速便會變得愈慢,且難以擴散。結果上,處理氣體在到達中心部區域C之前便會因供給於中心部區域C之分離氣體而被推回處理區域P1側。又,意欲往中心部區域C的其他氣體亦同樣地因曲徑構造部110而難以到達中心部區域C。是以,便可防止處理氣體彼此在中心部區域C相互混合。 More specifically, the labyrinth structure portion 110 has a structure in which a wall portion extending vertically from the turntable 2 side to the top plate 11 side and a wall portion extending vertically from the top plate 11 side to the turntable 2 cross each other. It is formed in the peripheral direction and is alternately arranged in the radial direction of the turntable 2. Labyrinth structure In 110, for example, the first processing gas ejected from the raw material gas nozzle 31 and intended to go to the central region C must pass through the labyrinth structure portion 110. Therefore, the flow velocity becomes slower as it goes toward the central region C, and it becomes difficult to spread. As a result, the processing gas is pushed back to the processing region P1 side due to the separation gas supplied to the center region C before reaching the center region C. In addition, other gases intended for the central region C are similarly difficult to reach the central region C due to the labyrinth structure portion 110. Therefore, the process gases can be prevented from being mixed with each other in the central region C.

於旋轉台2與真空容器1之底面部14之間的空間,如圖1所示,係設有做為加熱機構之加熱器單元7。加熱器單元7會構成為可經由旋轉台2而將旋轉台2上之晶圓W加熱至例如室溫~760℃左右。此外,圖1中之參見符號71a係於加熱器單元7側邊側所設之蓋構件,參見符號7a係覆蓋此加熱器單元7上方側的覆蓋構件。又,於真空容器1之底面部14係橫跨周圍方向而在複數部位設置有用以於加熱器單元7之下方側沖洗加熱器單元7之配置空間的沖洗氣體供給管73。 As shown in FIG. 1, a space between the rotary table 2 and the bottom surface portion 14 of the vacuum container 1 is provided with a heater unit 7 as a heating mechanism. The heater unit 7 is configured to heat the wafer W on the turntable 2 to, for example, about room temperature to about 760 ° C. via the turntable 2. In addition, reference numeral 71 a in FIG. 1 is a cover member provided on the side of the heater unit 7, and reference numeral 7 a is a cover member covering the upper side of the heater unit 7. Further, a flushing gas supply pipe 73 for flushing the arrangement space of the heater unit 7 below the heater unit 7 is provided at a plurality of locations on the bottom surface portion 14 of the vacuum container 1 across the peripheral direction.

如圖2所示,於真空容器1側壁形成有用以進行晶圓W之傳輸的搬送口15。此搬送口15係構成為藉由閘閥G而氣密性開閉自如。 As shown in FIG. 2, a transfer port 15 is formed on the side wall of the vacuum container 1 for transferring the wafer W. The transfer port 15 is configured to be airtightly openable and closable by a gate valve G.

旋轉台2之凹部24在面對此搬送口15之位置會和搬送臂10之間進行晶圓W之傳輸。是以,對應於旋轉台2之下方側的傳輸位置之部位便設有未圖示之升降銷以及升降機構,係用以貫通凹部24而將晶圓W從內面上舉。 The recess 24 of the turntable 2 is used to transfer the wafer W between the transfer port 15 and the transfer arm 10 at the position facing the transfer port 15. Therefore, a lift pin and a lift mechanism (not shown) are provided at a position corresponding to the transfer position on the lower side of the turntable 2 to penetrate the recess 24 and lift the wafer W from the inner surface.

又,本實施形態相關之成膜裝置中係設有用以控制裝置全體動作而由電腦所構成之控制部120。於此控制部120之記憶體內儲存有用以進行後述基板處理之程式。此程式係以實行裝置之各種動作的方式來組成有步驟群,並從硬碟、光碟、磁光碟、記憶卡、軟碟等記憶媒體亦即記憶部121來安裝於控制部120內。 In addition, the film forming apparatus according to this embodiment is provided with a control unit 120 that is configured by a computer to control the overall operation of the apparatus. A program for performing substrate processing described later is stored in the memory of the control unit 120. This program is composed of a group of steps by performing various actions of the device, and is installed in the control section 120 from a storage medium such as a hard disk, an optical disk, a magneto-optical disk, a memory card, and a floppy disk, that is, the storage section 121.

〔成膜方法〕 [Film formation method]

接著,便就本發明實施形態相關之成膜方法來加以說明。本發明實施形態相關的成膜方法,只要是可基於ALD法(Atomic Layer Deposition,原子層沉積法)或是MLD法(Molecular Layer Deposition,分子層沉積法)來進行成膜之成膜裝置的話,便可藉由各種成膜裝置來加以實施,而本實施形態中係就使用上述旋轉台式之成膜裝置來實施之例做說明。 Next, a film forming method according to an embodiment of the present invention will be described. As long as the film-forming method related to the embodiment of the present invention is a film-forming device that can perform film formation based on the ALD method (Atomic Layer Deposition) or the MLD method (Molecular Layer Deposition), It can be implemented by various film forming apparatuses, and in this embodiment, an example in which the above-described rotary table film forming apparatus is used for implementation will be described.

此外,舉出將進行第1電漿處理之第2處理區域P2中的電漿產生器81a與旋轉台2之間的第1距離設定為大於進行第2電漿處理之第3處理區域P3中的電漿產生器81b與旋轉台2之間的第2距離之例來加以說明。又,舉出原料氣體噴嘴31所供給之原料氣體係使用DCS(SiH2Cl2,二氯矽烷),第1電漿處理用氣體噴嘴32所供給之第1電漿處理用氣體係使用NH3、Ar以及H2之混合氣體,第2電漿處理用氣體噴嘴33所供給之第2電漿處理用氣體係使用NH3、N2以及Ar之混合氣體之例來加以說明。然而,此等僅為一例,原料氣體可使用各種含Si氣體,第1電漿處理用氣體可使用各種氮化氣體,第2電漿處理用氣體可使用各種含有NH3以及N2兩者之改質氣體。 In addition, the first distance between the plasma generator 81a and the rotary table 2 in the second processing region P2 where the first plasma treatment is performed is set to be larger than that in the third processing region P3 where the second plasma treatment is performed. An example of the second distance between the plasma generator 81b and the turntable 2 will be described. In addition, DCS (SiH 2 Cl 2 , dichlorosilane) is used as the raw gas system supplied from the raw gas nozzle 31, and NH 3 is used as the first plasma processing gas system supplied from the first plasma processing gas nozzle 32. , Ar and a mixed gas of H 2, 33 second plasma treatment of the second processing gas supplied to the plasma gas nozzle systems using NH 3, N 2, and a mixed gas of Ar of Example to be described. However, these are only examples, and various Si-containing gases can be used as the source gas, various nitriding gases can be used as the first plasma processing gas, and various types including NH 3 and N 2 can be used as the second plasma processing gas. Reformed gas.

本實施形態中,第1電漿處理用氣體係使用含有NH3但不含有N2之氮化氣體,第2電漿處理用氣體係使用含有NH3以及N2之改質氣體,首先,便就其理由說明之。 In this embodiment, the first plasma processing gas system uses a nitrogen gas containing NH 3 but does not contain N 2 , and the second plasma processing gas system uses a modified gas containing NH 3 and N 2. First, Explain why.

在電漿中,當NH3、N2以單獨氣體的形式個別存在之情況,便如以下之式(1)、(2)所示,會分別發生可逆反應。 In the plasma, when NH 3 and N 2 exist individually as separate gases, as shown in the following formulas (1) and (2), reversible reactions occur respectively.

NH3

Figure TWI675933B_D0001
NH2*+H* (1) NH 3
Figure TWI675933B_D0001
NH 2 * + H * (1)

N2

Figure TWI675933B_D0002
2N* (2) N 2
Figure TWI675933B_D0002
2N * (2)

當電漿中存在2種氣體之情況,便如以下之式(3)~(5)所示,因N*會與H*起反應,產生NH*、NH2*之兩者,而使得氮化力增加,並防止式(1)、(2)之可逆反應。 When there are two kinds of gas in the plasma, as shown in the following formulas (3) to (5), N * will react with H * to produce both NH * and NH 2 *, so that nitrogen Increase the chemical force and prevent reversible reactions of formulas (1) and (2).

N*+H*→NH* (3) N * + H * → NH * (3)

NH*+H*→NH2* (4) NH * + H * → NH 2 * (4)

NH2*+H*→NH3 (5) NH 2 * + H * → NH 3 (5)

從而,便如式(6)所示,以結果而言,係藉由在NH3添加N2並藉由電漿活性化,來朝增加氮化力之方向起作用。 Therefore, as shown in the formula (6), as a result, N 2 is added to NH 3 and activated by the plasma, thereby acting in the direction of increasing the nitriding force.

2NH3+N2

Figure TWI675933B_D0003
2NH2*+2NH* (6) 2NH 3 + N 2
Figure TWI675933B_D0003
2NH 2 * + 2NH * (6)

本實施形態中係利用相關機制,來在改質用之第2電漿處理用氣體方面使用NH3與N2之混合氣體,來提高氮化力,而提升膜質。 In this embodiment, a related mechanism is used to use a mixed gas of NH 3 and N 2 in the second plasma processing gas used for the modification, so as to increase the nitriding power and improve the film quality.

但是,當N2達到某濃度以上之情況,由於會將做為氮化氣體之NH3過度稀釋,而使得屬氮化源之NH3變得不足,故會存在著NH3/N2之最適流 量比。以下,便一併提及該流量比並就本發明實施形態相關之成膜方法來加以說明。 However, when the N 2 of a concentration of more than the case, excessive dilution will be used as the nitriding gas NH 3 due, so that the source of metal nitriding NH 3 becomes insufficient, so that there will NH 3 / N 2 of the optimum Flow ratio. In the following, the flow rate ratio is mentioned together and the film forming method according to the embodiment of the present invention will be described.

首先,在朝上述成膜裝置搬入晶圓W之際,會先開放閘閥G。然後,一邊使得旋轉台2做間歇性旋轉,一邊藉由搬送臂10並經由搬送口15來載置於旋轉台2上。 First, when the wafer W is loaded into the film forming apparatus, the gate valve G is first opened. Then, while the rotary table 2 is intermittently rotated, it is placed on the rotary table 2 by the transfer arm 10 and the transfer port 15.

其次,關閉閘閥G,而藉由加熱器單元7將晶圓W加熱至既定溫度。晶圓W之溫度可依據用途來適當地設定為適切的值,可設定在300~600℃之範圍,例如可設定在400℃左右。 Next, the gate valve G is closed, and the wafer W is heated to a predetermined temperature by the heater unit 7. The temperature of the wafer W can be appropriately set to an appropriate value depending on the application, and can be set in a range of 300 to 600 ° C, for example, about 400 ° C.

接著,從第1處理氣體噴嘴31以既定流量來供給為原料氣體之DCS,並從第1電漿處理用氣體噴嘴32以及第2電漿處理用氣體噴嘴34以既定流量來供給第1以及第2電漿處理用氣體。此處,第1電漿處理用氣體為NH3、Ar以及H2之混合氣體,第2電漿處理用氣體為NH3、N2以及Ar之混合氣體。第1電漿處理用氣體係會和晶圓W表面所吸附之含Si氣體起反應而於晶圓W表面上沉積SiN膜分子層所用的氮化氣體,第2電漿處理用氣體係使得沉積於晶圓W表面上的SiN膜進而氮化來提高SiN膜之膜質所用的改質氣體。改質氣體為產生上述式(6)之反應的氣體,具有提高氮化力之效果。 Next, the DCS as the raw material gas is supplied from the first processing gas nozzle 31 at a predetermined flow rate, and the first and the first are supplied from the first plasma processing gas nozzle 32 and the second plasma processing gas nozzle 34 at a predetermined flow rate. 2Plasma processing gas. Here, the first plasma processing gas is a mixed gas of NH 3 , Ar, and H 2 , and the second plasma processing gas is a mixed gas of NH 3 , N 2, and Ar. The first plasma processing gas system reacts with the Si-containing gas adsorbed on the surface of the wafer W to deposit a nitriding gas used to deposit a molecular layer of the SiN film on the surface of the wafer W. The second plasma processing gas system enables deposition The SiN film on the surface of the wafer W is further modified to improve the film quality of the SiN film. The reformed gas is a gas that generates the reaction of the above formula (6), and has the effect of increasing the nitriding power.

然後,藉由壓力調整部65來將真空容器1內調整為既定壓力。又,電漿產生器81a、81b會分別對於天線83施加既定輸出之高頻電力。此外,壓力可依據用途來設定為適切之值,可設定為0.2~2.0Torr之範圍,例如可設定為0.75Torr左右。 Then, the inside of the vacuum container 1 is adjusted to a predetermined pressure by the pressure adjustment unit 65. The plasma generators 81 a and 81 b apply high-frequency power of a predetermined output to the antenna 83, respectively. In addition, the pressure can be set to an appropriate value depending on the application, and can be set to a range of 0.2 to 2.0 Torr, for example, about 0.75 Torr.

以下,便使用圖2來加以說明。晶圓W之表面係因旋轉台2之旋轉而於第1處理區域P1吸附為原料氣體(含Si氣體)之DCS。吸附了第1處理氣體之晶圓W會藉由旋轉台2之旋轉而通過分離區域D。於此分離區域D係對晶圓W之表面供給分離氣體,將關於第1處理氣體之不需要的物理吸附量加以去除。 Hereinafter, it will be described using FIG. 2. The surface of the wafer W is a DCS adsorbed as a source gas (a Si-containing gas) in the first processing region P1 by the rotation of the turntable 2. The wafer W having the first processing gas adsorbed thereon passes through the separation area D by the rotation of the turntable 2. A separation gas is supplied to the surface of the wafer W in this separation region D, and an unnecessary physical adsorption amount with respect to the first processing gas is removed.

其次,晶圓W會因旋轉台2之旋轉而到達第2處理區域P2。於第2處理區域P2中,第1電漿處理用氣體噴嘴32所供給之第1電漿處理用氣體(含NH3氣體)會藉由電漿來被活性化,而使得DCS被NH2*所氮化,以將所形成之矽氮化膜(SiN膜)沉積於晶圓W之表面上。 Next, the wafer W reaches the second processing region P2 due to the rotation of the turntable 2. In the second processing region P2, the first plasma processing gas (containing NH 3 gas) supplied from the first plasma processing gas nozzle 32 is activated by the plasma, so that the DCS is NH 2 * Nitrided to deposit the formed silicon nitride film (SiN film) on the surface of the wafer W.

此處第1電漿處理用氣體只要是含NH3之氣體等的氮化氣體的話,便可使用各種的氣體,例如可為含Ar、NH3以及H2之混合氣體。又,Ar、NH3 以及H2之含有量以及比率可依據用途來做各種設定,例如可為含Ar達2000sccm,含NH3達300sccm,含H2達600sccm之混合氣體。第1電漿處理用氣體的重點在於使得吸附於晶圓W表面之Si成分氮化,而充分供給為氮化源之NH3。從而,第1電漿處理用氣體係不含有N2。又,第1電漿產生器81a相較於第2電漿產生器81b係設置於較高位置,以使得將NH3電漿化後的NH2*可廣範圍地遍及晶圓W之全面。由於NH2*具有廣範圍擴散之性質,故可說是適合於此角色。 Here, as long as the first plasma processing gas is a nitriding gas such as a gas containing NH 3 , various gases can be used, and for example, a mixed gas containing Ar, NH 3 and H 2 can be used. In addition, the content and ratio of Ar, NH 3 and H 2 can be variously set depending on the application, for example, a mixed gas containing Ar up to 2000 sccm, NH 3 up to 300 sccm, and H 2 up to 600 sccm. The focus of the first plasma processing gas is to nitride the Si component adsorbed on the surface of the wafer W, and to sufficiently supply NH 3 as a nitriding source. Therefore, the first plasma processing gas system does not contain N 2 . In addition, the first plasma generator 81a is disposed at a higher position than the second plasma generator 81b, so that the NH 2 * after the NH 3 is plasmatized can cover the entire surface of the wafer W in a wide range. Because NH 2 * has a wide range of diffusion properties, it can be said to be suitable for this role.

此外,一般來說,由電漿處理用氣體之電漿所產生之活性基已知有離子以及自由基,離子主要是幫助氮化膜之改質處理,自由基主要是幫助氮化膜之形成處理。又,離子相較於自由基在壽命上較短,而會因為電漿產生部81a、81b與旋轉台2之間的距離變長而使得到達晶圓W之離子能量大幅減少。 In addition, in general, the active groups generated by the plasma of the plasma processing gas are known to have ions and free radicals. The ions are mainly used to help the modification process of the nitride film, and the free radicals are mainly to help the formation of the nitride film. deal with. In addition, ions have a shorter life than radicals, and because the distance between the plasma generating portions 81a and 81b and the turntable 2 becomes longer, the ion energy reaching the wafer W is greatly reduced.

此處在第2處理區域P2中,第1電漿產生部81a與旋轉台2之間的距離相較於第2距離係設定為大的距離。藉由此相對大的第1距離,來使得到達晶圓W之離子會在第2處理區域P2中大幅降低,而使得晶圓W主要被供給自由基。亦即,於第2處理區域P2中,晶圓W上之第1處理氣體會藉由離子能量相對小的電漿來被(初期)氮化,而形成1層或是複數層屬於薄膜成分之氮化膜分子層。又,所形成之氮化膜亦會因電漿而受到某種程度之改質處理。 Here, in the second processing region P2, the distance between the first plasma generating section 81a and the turntable 2 is set to a larger distance than the second distance. With this relatively large first distance, the ions reaching the wafer W are greatly reduced in the second processing region P2, and the wafer W is mainly supplied with radicals. That is, in the second processing region P2, the first processing gas on the wafer W is (initially) nitrided by a plasma having a relatively small ion energy, and the formation of one or a plurality of layers belongs to a thin film component. Molecular layer of nitride film. In addition, the formed nitride film is also subject to a certain degree of modification treatment due to the plasma.

又,於成膜製程之初期,活性基對晶圓之影響較大,例如當使用離子能量大的電漿之情況,晶圓自身有時會被氮化。基於此觀點,較佳地係於第2處理區域P2之處理中先藉由離子能量相對小的電漿來進行電漿處理。 In addition, at the early stage of the film formation process, the active group has a large influence on the wafer. For example, when a plasma with a large ion energy is used, the wafer itself may be nitrided. Based on this viewpoint, it is preferable to first perform plasma processing by using a plasma having a relatively small ion energy in the processing in the second processing region P2.

雖第1距離並無限定,但基於以離子能量相對小的電漿來高效率地於晶圓W上成膜出氮化膜之觀點,較佳地係設定在80mm以上,150mm以下之範圍內,例如可設定在90mm。 Although the first distance is not limited, it is preferably set to a range of 80 mm or more and 150 mm or less from the viewpoint of efficiently forming a nitride film on the wafer W with a plasma having a relatively small ion energy. For example, it can be set at 90mm.

其次,通過了第2處理區域P2之晶圓W會藉由旋轉台2之旋轉來到達第3處理區域P3。於第3處理區域P3中,會藉由以電漿活性化第2電漿處理用氣體噴嘴33所供給之第2電漿處理用氣體,來讓SiN膜進而氮化,以將所沉積之SiN膜改質處理。 Next, the wafer W that has passed through the second processing region P2 reaches the third processing region P3 by the rotation of the turntable 2. In the third processing region P3, the SiN film is further nitrided by activating the second plasma processing gas supplied from the second plasma processing gas nozzle 33 with a plasma, thereby depositing the deposited SiN. Membrane modification treatment.

此處第2電漿處理用氣體只要是含有NH3以及N2雙方之改質氣體的話, 便可使用各種氣體,例如可為含有Ar、NH3以及N2之混合氣體。又,Ar、NH3以及N2之含有量(流量)以及比率可依據用途來做各種設定,而NH3對N2之比率較佳地係設定為N2具有較NH3更高流量之比率,更佳地係設定為N2具有NH3之2倍以上流量之比率。進而,更佳地係設定為N2具有NH3之3倍以上流量的比率,最佳地係設定為N2具有NH3之3倍以上流量的比率。例如,當Ar之流量為2000sccm之時,NH3(sccm)/N2(sccm)可為600/1400、500/1500、300/1700、200/1800等比率。後續將以實施例做說明,在上述比率當中,能以最良好的面內均勻性來進行成膜者為NH3/N2=300/1700。如此般,第2電漿處理用氣體之NH3/N2之比率較佳地係設定為N2成為NH3之3倍以上的含有量。 Here, as long as the second plasma processing gas is a modified gas containing both NH 3 and N 2 , various gases can be used, and for example, a mixed gas containing Ar, NH 3 and N 2 can be used. In addition, the content (flow rate) and ratio of Ar, NH 3, and N 2 can be variously set depending on the application, and the ratio of NH 3 to N 2 is preferably set such that N 2 has a higher flow rate than NH 3 More preferably, it is set as a ratio in which N 2 has a flow rate that is twice or more of NH 3 . Further, more desirably set to N 2 having a ratio of NH 3 more than 3 times the flow rate, based optimally set the ratio of N 2 with NH 3 over 3 times the flow rate. For example, when the flow rate of Ar is 2000 sccm, the ratio of NH 3 (sccm) / N 2 (sccm) may be 600/1400, 500/1500, 300/1700, 200/1800, and the like. The examples will be described later. Among the above ratios, the film formation with the best in-plane uniformity is NH 3 / N 2 = 300/1700. As such, the ratio of NH 3 / N 2 of the second plasma processing gas is preferably set to a content of N 2 that is three times or more of NH 3 .

將以此般比率含有NH3以及N2之混合氣體從第2電漿處理用氣體噴嘴33來供給,並藉由於第2電漿產生器81b所產生之電漿來活性化,便可產生上述式(6)所說明之反應,而可提高氮化力。又,N2電漿雖壽命短,但具有擁有高能量且不易擴散,而集中於天線83之下的性質。由於第2電漿產生器81b之天線83在半徑方向上形成為較晶圓W之兩端來得長,故可使得NH2*、NH*集中於天線83下,而可使得在晶圓W之徑向端部的SiN膜亦被充分氮化。藉此,便可提高晶圓W上之SiN膜的面內均勻性。 The mixed gas containing NH 3 and N 2 at such a ratio is supplied from the second plasma processing gas nozzle 33 and activated by the plasma generated by the second plasma generator 81b, thereby generating the above. The reaction described by the formula (6) can increase the nitriding power. In addition, although the N 2 plasma has a short life, it has a property of having high energy and being difficult to diffuse, and being concentrated under the antenna 83. Since the antenna 83 of the second plasma generator 81b is formed longer than both ends of the wafer W in the radial direction, NH 2 *, NH * can be concentrated under the antenna 83, and The SiN film at the radial end portion is also sufficiently nitrided. Thereby, the in-plane uniformity of the SiN film on the wafer W can be improved.

又,第3處理區域P3中,第2電漿產生部81b與旋轉台2之間的距離係設定為較上述第1距離來得小的第2距離。於第3處理區域P3中,係藉由較第1距離相對來得小之第2距離,來使得到達晶圓W之離子量會相較於第2處理區域P2變多。此外,應留意的是,第3處理區域P3中,到達晶圓W之自由基量相較於第2處理區域P2也會變多。從而,第3處理區域P3中,晶圓W上之第1處理氣體係藉由離子能量相對大,且具有高密度自由基之電漿來被氮化,而所形成之氮化膜相較於第2處理區域P2會更有效率地受到改質處理。 In the third processing region P3, the distance between the second plasma generating unit 81b and the turntable 2 is set to a second distance smaller than the first distance. In the third processing region P3, the amount of ions reaching the wafer W is increased by a second distance which is relatively smaller than the first distance, compared to the second processing region P2. In addition, it should be noted that the amount of free radicals reaching the wafer W in the third processing region P3 also increases compared to the second processing region P2. Therefore, in the third processing region P3, the first processing gas system on the wafer W is nitrided by a plasma having a relatively large ion energy and a high-density free radical, and the nitride film formed is compared with The second processing region P2 is more efficiently subjected to the modification processing.

雖第2距離只要小於第1距離的話,並無限定,但從更有效率將氮化膜加以改質之觀點來看,較佳地係在20mm以上,未達80mm之範圍內,例如可設定為60mm之距離(高度)。 Although the second distance is not limited as long as it is smaller than the first distance, from the viewpoint of more efficiently modifying the nitride film, it is preferably within a range of 20 mm or more and less than 80 mm. For example, it can be set. The distance (height) is 60mm.

電漿處理過之晶圓W會藉由旋轉台2之旋轉來通過分離區域D。此分離區域D係以不讓不需要的氮化氣體、改質氣體朝第1處理區域P1侵入的方式來將第1處理區域P1與第3處理區域P3分離之區域。 The plasma-processed wafer W passes through the separation area D by the rotation of the rotary table 2. This separation region D is a region that separates the first processing region P1 from the third processing region P3 so that unnecessary nitriding gas or reforming gas does not enter the first processing region P1.

於本實施形態中,係藉由持續旋轉台2的旋轉,來依序多數次進行原料氣體(含Si氣體)朝晶圓W表面之吸附、吸附於晶圓W表面之原料氣體成分(Si)之氮化以及反應生成物(SiN)之電漿改質。亦即,基於ALD法之成膜處理以及所形成的膜之改質處理係藉由旋轉台2之旋轉而進行多數次。 In this embodiment, the rotation of the turntable 2 is performed in order to sequentially adsorb the source gas (Si-containing gas) toward the surface of the wafer W and the source gas component (Si) adsorbed on the surface of the wafer W. Nitriding and plasma modification of reaction products (SiN). That is, the film formation process by the ALD method and the modification process of the formed film are performed a plurality of times by the rotation of the turntable 2.

此外,本實施形態相關之基板處理裝置中在處理區域P1、P2之間,係於旋轉台2之周圍方向兩側配置著分離區域D。是以,分離區域D係一邊阻止原料氣體與電漿處理用氣體之混合,一邊使得各氣體朝排氣口61、62進行排氣。 In addition, in the substrate processing apparatus according to this embodiment, the separation regions D are arranged between the processing regions P1 and P2 on both sides in the peripheral direction of the turntable 2. That is, the separation region D is such that each gas is exhausted toward the exhaust ports 61 and 62 while preventing mixing of the raw material gas and the plasma processing gas.

〔實施例〕 [Example]

其次,便就實施本發明實施形態相關之成膜方法的實施例來加以說明。首先,實施例所使用之成膜裝置係上述實施形態所說明過之旋轉台式之搭載有2個電漿產生器81a、81b的ALD成膜裝置。 Next, an example of implementing a film forming method according to an embodiment of the present invention will be described. First, the film forming apparatus used in the embodiment is an ALD film forming apparatus equipped with two plasma generators 81a and 81b on the rotary table described in the above embodiment.

真空容器1內之晶圓W的溫度係設定為400℃。真空容器1內之壓力為0.75Torr。旋轉台2之旋轉速度係設定為10rpm。第2處理區域P2,亦即供給第1電漿處理用氣體之第1電漿產生器81a和旋轉台2表面之距離係設定為90mm。此外,第3處理區域P3,亦即供給第2電漿處理用氣體之第2電漿產生器81b和旋轉台2表面之距離係設定為60mm。原料氣體噴嘴31所供給之原料氣體係使用屬於含Si氣體之DCS,流量係設定為1000sccm。第1電漿處理用氣體噴嘴32所供給之氮化氣體為NH3/Ar/H2之混合氣體,且將NH3之流量設定為300sccm,將Ar之流量設定為2000sccm,將H2之流量設定為600sccm。以上為固定條件。 The temperature of the wafer W in the vacuum container 1 was set to 400 ° C. The pressure in the vacuum container 1 was 0.75 Torr. The rotation speed of the turntable 2 is set to 10 rpm. The second processing area P2, that is, the distance between the first plasma generator 81a supplying the first plasma processing gas and the surface of the turntable 2 is set to 90 mm. The third processing area P3, that is, the distance between the second plasma generator 81b supplying the second plasma processing gas and the surface of the turntable 2 is set to 60 mm. The source gas system supplied by the source gas nozzle 31 uses a DCS belonging to a Si-containing gas, and the flow rate is set to 1000 sccm. The nitriding gas supplied by the first plasma processing gas nozzle 32 is a mixed gas of NH 3 / Ar / H 2 , and the flow rate of NH 3 is set to 300 sccm, the flow rate of Ar is set to 2000 sccm, and the flow rate of H 2 Set it to 600 sccm. The above are fixed conditions.

第2電漿處理用氣體噴嘴33所供給之改質氣體為NH3/N2/Ar之混合氣體,Ar之流量雖固定於2000sccm,但卻讓NH3(sccm)/N2(sccm)之流量做各種變化。 The modified gas supplied by the second plasma processing gas nozzle 33 is a mixed gas of NH 3 / N 2 / Ar. Although the flow rate of Ar is fixed at 2000 sccm, the flow rate of NH 3 (sccm) / N 2 (sccm) Various changes in traffic.

比較例為NH3(sccm)/N2(sccm)=2000/0,此為以往所實施之未添加N2的改質處理。 The comparative example is NH 3 (sccm) / N 2 (sccm) = 2000/0, which is a modification treatment that has not been performed in the past without adding N 2 .

實施例1為NH3(sccm)/N2(sccm)=1500/500,實施例2為NH3(sccm)/N2(sccm)=1000/1000。實施例3為NH3(sccm)/N2(sccm)=500/1500,實施例4為NH3(sccm)/N2(sccm)=300/1700。實施例5為NH3(sccm)/N2(sccm)=200/1800,參考例為NH3(sccm)/N2(sccm)=0/2000。參考例雖含有N2但不 含NH3,而非NH3與N2之混合氣體,故並非實施例而是參考例。 Example 1 is NH 3 (sccm) / N 2 (sccm) = 1500/500, and Example 2 is NH 3 (sccm) / N 2 (sccm) = 1000/1000. Example 3 is NH 3 (sccm) / N 2 (sccm) = 500/1500, and Example 4 is NH 3 (sccm) / N 2 (sccm) = 300/1700. In Example 5, NH 3 (sccm) / N 2 (sccm) = 200/1800, and reference example is NH 3 (sccm) / N 2 (sccm) = 0/2000. Although the reference example contains N 2 but does not contain NH 3 , it is not a mixed gas of NH 3 and N 2 , so it is not an example but a reference example.

圖9係顯示X軸上(亦即通過和旋轉台2之旋轉方向為大致平行之晶圓W中心的橫軸上)之比較例、實施例1~5以及參考例相關之成膜方法之實施結果的圖式。圖9中,橫軸表示晶圓W上之X軸上的位置,縱軸表示SiN膜之膜厚。 FIG. 9 shows a comparative example on the X axis (that is, a horizontal axis passing through the center of the wafer W which is substantially parallel to the rotation direction of the turntable 2), implementation of the film formation method related to Examples 1 to 5, and Reference Example Schema of the result. In FIG. 9, the horizontal axis represents the position on the X axis on the wafer W, and the vertical axis represents the film thickness of the SiN film.

如圖9所示,NH3(sccm)/N2(sccm)=300/1700之實施例4的膜厚最大,又,可得到良好的均勻性。未添加N2之比較例相較於實施例1~5的任一者皆為較小的膜厚。又,不含NH3之參考例相較於比較例的膜厚會更小。從而,由圖9可知,在X軸上,所有的實施例1~6皆會較比較例以及參考例有良好均勻性,當中實施例4之NH3(sccm)/N2(sccm)=300/1700之流量比最為良好。 As shown in FIG. 9, the film thickness of Example 4 with NH 3 (sccm) / N 2 (sccm) = 300/1700 was the largest, and good uniformity was obtained. The comparative example in which N 2 was not added had a smaller film thickness than any of Examples 1 to 5. The reference example containing no NH 3 has a smaller film thickness than the comparative example. Therefore, it can be seen from FIG. 9 that all the examples 1 to 6 on the X axis have better uniformity than the comparative example and the reference example. Among them, NH 3 (sccm) / N 2 (sccm) = 300 of Example 4 The flow rate of / 1700 is the best.

圖10係顯示Y軸上(亦即通過和旋轉台2之半徑方向為平行之晶圓W之中心的縱軸上)之比較例、實施例1~5以及參考例相關之成膜方法之實施結果的圖式。圖10中,橫軸表示晶圓W上之Y軸上的位置,縱軸表示SiN膜之膜厚。 FIG. 10 shows a comparative example on the Y axis (that is, a vertical axis passing through the center of the wafer W that is parallel to the radial direction of the turntable 2), the implementation of the film formation methods related to Examples 1 to 5, and the reference example. Schema of the result. In FIG. 10, the horizontal axis represents the position on the Y axis on the wafer W, and the vertical axis represents the film thickness of the SiN film.

如圖10所示,即使是Y軸上仍是NH3(sccm)/N2(sccm)=300/1700之實施例4的膜厚為最大,又,可得到良好的均勻性。未添加N2之比較例相較於實施例1~5的任一者會成為小的膜厚。又,不含有NH3之參考例相較於比較例在膜厚上更小這點亦和圖9同樣。從而,從圖10可知,即使是在Y軸上,所有的實施例1~6皆會較比較例以及參考例有良好均勻性,當中實施例4之NH3(sccm)/N2(sccm)=300/1700之流量比最為良好。 As shown in FIG. 10, even in the Y-axis, the film thickness of Example 4 with NH 3 (sccm) / N 2 (sccm) = 300/1700 is the largest, and good uniformity can be obtained. The comparative example in which N 2 was not added had a smaller film thickness than any of Examples 1 to 5. The reference example that does not contain NH 3 is also smaller in film thickness than the comparative example, and is also the same as FIG. 9. Therefore, it can be seen from FIG. 10 that even in the Y axis, all of Examples 1 to 6 have better uniformity than the Comparative Example and the Reference Example, among which NH 3 (sccm) / N 2 (sccm) of Example 4 = 300/1700 has the best flow ratio.

圖11係從面內均勻性之觀點來顯示比較例、實施例1~5以及參考例相關之成膜方法之成膜結果的圖式。圖11中,橫軸表示N2濃度(%),愈往右側則N2密度愈高。又,縱軸表示膜厚之晶圓W內的均勻性(±%),愈接近0意指均勻性愈良好。 11 is a diagram showing film formation results of film formation methods related to Comparative Examples, Examples 1 to 5, and Reference Examples from the viewpoint of in-plane uniformity. In FIG. 11, the horizontal axis represents the N 2 concentration (%), and the N 2 density becomes higher as it goes to the right. The vertical axis indicates the uniformity (±%) in the wafer W with a film thickness, and closer to 0 means that the uniformity is better.

如圖11所示,在實施例4之NH3(sccm)/N2(sccm)=300/1700之情況,均勻性最為良好,其次是在實施例5之NH3(sccm)/N2(sccm)=200/1800之情況的均勻性為良好。接著,便依照實施例3之NH3(sccm)/N2(sccm)=500/1500、新追加之實施例6之NH3(sccm)/N2(sccm)=600/1400、實施例2之NH3(sccm)/N2(sccm)=1000/1000、實施例1之NH3(sccm)/N2(sccm)=1500/500之順序來成為良好。然後,此等實施例1~6之均勻性均皆會較比較例之 NH3(sccm)/N2(sccm)=2000/0以及參考例之NH3(sccm)/N2(sccm)=0/2000之情況來得高。 As shown in FIG. 11, in the case of NH 3 (sccm) / N 2 (sccm) = 300/1700 in Example 4, the uniformity is the best, followed by NH 3 (sccm) / N 2 ( In the case of sccm) = 200/1800, the uniformity is good. Next, the NH 3 (sccm) / N 2 (sccm) = 500/1500 of Example 3 and the newly added NH 3 (sccm) / N 2 (sccm) = 600/1400 of Example 6 are added according to Example 3 the NH 3 (sccm) / N 2 (sccm) = 1000/1000, Example 1 of NH 3 (sccm) / N 2 (sccm) = the order of 1500/500 to be of good. Then, the uniformity of these examples 1 to 6 will be higher than NH 3 (sccm) / N 2 (sccm) = 2000/0 of the comparative example and NH 3 (sccm) / N 2 (sccm) = The situation of 0/2000 comes high.

如此般,顯示出所有的實施例1~6之膜厚均勻性皆會較比較例以及參考例來得良好,其中,實施例4之NH3(sccm)/N2(sccm)=300/1700之比率均勻性最為良好。亦即,第2電漿處理用氣體所使用之改質氣體較佳地係使用含有NH3以及N2之兩者的混合氣體,再者,顯示出於N2之流量大於NH3之流量的既定比率中存在有使得面內均勻性成為良好之最佳值。 In this way, it is shown that the film thickness uniformity of all Examples 1 to 6 is better than that of the Comparative Example and the Reference Example. Among them, NH 3 (sccm) / N 2 (sccm) = 300/1700 of Example 4 Ratio uniformity is the best. That is, the modified gas used for the second plasma processing gas is preferably a mixed gas containing both NH 3 and N 2. Furthermore, it is shown that the flow rate of N 2 is greater than the flow rate of NH 3 . The predetermined ratio has an optimal value for making the in-plane uniformity good.

圖12係顯示比較例、實施例1~6以及參考例之晶圓W上所成膜之SiN膜之均勻性的計算結果之圖式。 FIG. 12 is a graph showing the calculation results of the uniformity of the SiN film formed on the wafer W of the comparative example, Examples 1 to 6, and the reference example.

圖12中,膜厚平均值會以WIN AVG(nm)來表示,最大值會以Max(nm)來表示,最小值會以Min(nm)來表示,均勻性會以Win Unif(±%)來表示。符合圖9~圖11所示結果,均勻性係以實施例4之±1.16%最為良好,其次以實施例5之±1.32%為第2良好,以實施例3之1.68為第3良好。再者,依照±1.92%之實施例6、±2.48%之實施例2、±2.99之實施例1之順序有良好均勻性,此等相較於±3.72之比較例以及±5.35之參考例而成為良好的結果。 In Figure 12, the average film thickness will be expressed as WIN AVG (nm), the maximum value will be expressed as Max (nm), the minimum value will be expressed as Min (nm), and the uniformity will be expressed as Win Unif (±%) To represent. Consistent with the results shown in FIGS. 9 to 11, the uniformity was best at ± 1.16% of Example 4, followed by ± 1.32% of Example 5 as the second best, and 1.68 of Example 3 as the third best. Furthermore, the order according to Example 6 of ± 1.92%, Example 2 of ± 2.48%, and Example 1 of ± 2.99 has good uniformity, compared with the comparative example of ± 3.72 and the reference example of ± 5.35. Become a good result.

又,關於膜厚,實施例4仍會以23.09nm而最厚,雖實施例1~6相較比較例以及參考例可得到大的膜厚,但整體上並不像均勻性出現較大的差異。是以,依據本實施例,便可一邊得到既定膜厚,一邊提高面內均勻性。 Regarding the film thickness, Example 4 is still the thickest at 23.09 nm. Although Examples 1 to 6 can obtain a larger film thickness compared to the comparative example and the reference example, they do not appear to have a larger uniformity as a whole. difference. Therefore, according to this embodiment, the uniformity in the plane can be improved while obtaining a predetermined film thickness.

圖13係顯示實施例4與比較例之X軸上的膜厚分布之實施結果。如圖13所示,得知實施例4中不僅膜厚整體提高,且左側與右側之端部的膜厚亦較比較例來得大幅提高,而使得整體的膜厚均勻性提高。亦即,在比較例中,雖相較於X軸上之中央區域,左側與右側之端部的膜厚會大幅降低,而成為山狀的膜厚分布,但實施例4中,左側與右側之端部的膜厚的降低少,而使得整體得到大致水平的膜厚分布。 FIG. 13 shows the implementation results of the film thickness distribution on the X axis in Example 4 and Comparative Examples. As shown in FIG. 13, it is learned that not only the film thickness as a whole is increased in Example 4, but also the film thicknesses on the left and right end portions are significantly increased as compared with the comparative example, so that the overall film thickness uniformity is improved. That is, in the comparative example, although the film thickness on the left and right end portions is greatly reduced compared to the central region on the X axis, and becomes a mountain-like film thickness distribution, in Example 4, the left and right sides are distributed. The reduction of the film thickness at the end portion is small, so that a substantially horizontal film thickness distribution is obtained as a whole.

如此般,顯示依據最適條件之實施例4相關之成膜方法,便可相較於比較例而大幅提高膜厚均勻性。 In this way, the film-forming method according to Example 4 according to the optimum conditions is shown, and the film thickness uniformity can be greatly improved compared to the comparative example.

圖14係顯示實施例4與比較例之Y軸上之膜厚分布的實施結果。如圖14所示,得知實施例4中,和X軸上同樣地,不僅膜厚整體提高,且軸側與外側之端部的膜厚亦會較比較例有大幅提高,而使得整體的膜厚均勻性提高。亦即,得知比較例中,雖相較於Y軸上之中央區域,軸側與外側之端部的 膜厚會大幅降低,而成為山狀的膜厚分布,但實施例4中,軸側與外側之端部的膜厚的降低較小,而在整體上可得到大致水平的膜厚分布。尤其,得知雖比較例中,外側會出現較大的膜厚降低,但實施例4之外側的膜厚卻會大幅提高。 FIG. 14 shows the implementation results of the film thickness distribution on the Y axis in Example 4 and Comparative Examples. As shown in FIG. 14, it is learned that in Example 4, as in the X axis, not only the film thickness as a whole is increased, but also the film thickness at the ends of the shaft side and the outer side is greatly increased compared to the comparative example, so that the overall The film thickness uniformity is improved. That is, in the comparative example, it is understood that, compared with the central region on the Y axis, the The film thickness is greatly reduced and becomes a mountain-like film thickness distribution. However, in Example 4, the decrease in the film thickness at the end portions on the axial side and the outer side is small, and a substantially horizontal film thickness distribution can be obtained as a whole. In particular, it was found that although the film thickness on the outside of the comparative example was greatly reduced, the film thickness on the outside of Example 4 was significantly increased.

如此般,顯示依據屬最佳條件之實施例4相關之成膜方法,便可相較於比較例而大幅提高膜厚均勻性。 As such, it is shown that the film-forming method according to Example 4 which is the optimal condition can greatly improve the film thickness uniformity compared to the comparative example.

此外,實施例1~6之條件充其量僅為例示,可藉由進一步的實驗來發現更好的條件。 In addition, the conditions of Examples 1 to 6 are merely examples at best, and further conditions can be found through further experiments.

如此般,依據本發明實施形態以及實施例相關之成膜方法,藉由第1電漿處理用氣體為含NH3氣體,第2電漿處理用氣體為含NH3以及N2之氣體,便可提高氮化膜之面內均勻性。進而,在第2電漿處理用氣體中使得N2之含有比率高於NH3,進而找出最佳條件,便可大幅提高面內均勻性。 As such, according to the embodiment of the present invention and the film-forming method related to the examples, the first plasma processing gas is a gas containing NH 3 , and the second plasma processing gas is a gas containing NH 3 and N 2 . It can improve the in-plane uniformity of the nitride film. Furthermore, by making the content ratio of N 2 higher than NH 3 in the second plasma processing gas, and then finding the optimal conditions, the in-plane uniformity can be greatly improved.

如此般,依據本發明之實施形態,便可進行高面內均勻性之成膜。 As such, according to the embodiment of the present invention, it is possible to perform film formation with high in-plane uniformity.

以上,雖已就本發明之較佳實施形態以及實施例詳述之,但本發明不受限於上述實施形態以及實施例,可在不脫離本發明範圍內而於上述實施形態以及實施例加入各種變形以及置換。 Although the preferred embodiments and examples of the present invention have been described in detail above, the present invention is not limited to the above-mentioned embodiments and examples, and may be added to the above-mentioned embodiments and examples without departing from the scope of the present invention. Various deformations and replacements.

本專利申請係基於2016年3月2日向日本特許廳所提出之日本專利申請第2016-40217號來主張優先權,並將日本專利申請第2016-40217號之全部內容援引於此。 This patent application claims priority based on Japanese Patent Application No. 2016-40217 filed with the Japan Patent Office on March 2, 2016, and the entire contents of Japanese Patent Application No. 2016-40217 are incorporated herein by reference.

Claims (12)

一種成膜方法,具有下述工序:對基板之表面供給含Si氣體,使該含Si氣體吸附於該基板之該表面之工序;將氮化氣體以第1電漿來活性化而供給於該基板之該表面,使得該基板之該表面上所吸附之該含Si氣體氮化,來沉積SiN膜之工序;以及將以既定比率來包含NH3以及N2之改質氣體以第2電漿來活性化而供給於該基板之該表面,來將該基板之該表面上所沉積之該SiN膜改質之工序;該既定比率係N2會較NH3要高之比率。A film forming method includes the steps of: supplying a Si-containing gas to a surface of a substrate, and adsorbing the Si-containing gas to the surface of the substrate; and activating a nitriding gas by supplying a first plasma to the substrate. The surface of the substrate, so that the Si-containing gas adsorbed on the surface of the substrate is nitrided to deposit a SiN film; and a modified plasma containing NH 3 and N 2 at a predetermined ratio is a second plasma A process of activating and supplying the surface of the substrate to modify the SiN film deposited on the surface of the substrate; the predetermined ratio is a ratio in which N 2 is higher than NH 3 . 如申請專利範圍第1項之成膜方法,其中該既定比率係包含N2為NH3之2倍以上的比率。For example, the film-forming method according to item 1 of the patent application range, wherein the predetermined ratio includes a ratio in which N 2 is twice or more of NH 3 . 如申請專利範圍第2項之成膜方法,其中該既定比率係包含N2為NH3之3倍以上的比率。For example, the film-forming method according to item 2 of the patent application range, wherein the predetermined ratio includes a ratio in which N 2 is 3 times or more of NH 3 . 如申請專利範圍第1項之成膜方法,其中該氮化氣體係含NH3氣體。For example, the film-forming method according to the first patent application range, wherein the nitrogen gas system contains NH 3 gas. 如申請專利範圍第4項之成膜方法,其中該氮化氣體為不含N2之氣體。For example, the film-forming method according to item 4 of the application, wherein the nitriding gas is a gas containing no N 2 . 如申請專利範圍第4項之成膜方法,其中該氮化氣體係進而含有Ar以及H2For example, the film formation method according to item 4 of the application, wherein the nitriding gas system further contains Ar and H 2 . 如申請專利範圍第1項之成膜方法,其中該改質氣體係進而含有Ar。For example, the film-forming method of the scope of application for patent No. 1 wherein the reformed gas system further contains Ar. 如申請專利範圍第1項之成膜方法,其中該第2電漿相較於該第1電漿係產生於接近該基板之該表面的位置。For example, the film forming method of the first scope of the patent application, wherein the second plasma is generated closer to the surface of the substrate than the first plasma. 如申請專利範圍第8項之成膜方法,其中吸附該含Si氣體之工序係依序反覆進行沉積該SiN膜之工序以及將該SiN膜改質之工序,使得該SiN膜於該基板之該表面上沉積達既定膜厚。For example, the film-forming method of the patent application No. 8 wherein the process of adsorbing the Si-containing gas is a process of sequentially depositing the SiN film and modifying the SiN film, so that the SiN film is on the substrate. Deposited on the surface to a predetermined film thickness. 如申請專利範圍第9項之成膜方法,其係於吸附該含Si氣體之工序與沉積該SiN膜之工序之間、以及於將該SiN膜改質之工序與吸附該含Si氣體之工序之間,進而具有對該基板之該表面供給沖洗氣體之工序。For example, the film-forming method of the scope of patent application No. 9 is between the step of adsorbing the Si-containing gas and the step of depositing the SiN film, and the step of modifying the SiN film and the step of adsorbing the Si-containing gas There is further a step of supplying a flushing gas to the surface of the substrate. 如申請專利範圍第10項之成膜方法,其中該基板係沿著周圍方向而載置於處理室內所設的旋轉台之表面上;於該處理室內之該旋轉台之上方係設有沿著該旋轉台之旋轉方向所依序配置之含Si氣體供給區域、第1沖洗氣體供給區域、氮化氣體供給區域、改質氣體供給區域以及第2沖洗氣體供給區域;藉由讓該旋轉台進行旋轉,並使得該基板通過該含Si氣體供給區域、該第1沖洗氣體供給區域、該氮化氣體供給區域、該改質氣體供給區域以及該第2沖洗氣體供給區域,來依序反覆進行吸附該含Si氣體之工序、供給該沖洗氣體之工序、沉積該SiN膜之工序、將該SiN膜改質之工序以及供給該沖洗氣體之工序。For example, the film-forming method of the scope of application for patent No. 10, wherein the substrate is placed on the surface of a rotary table provided in the processing chamber along the surrounding direction; The Si-containing gas supply region, the first purge gas supply region, the nitriding gas supply region, the reformed gas supply region, and the second purge gas supply region, which are arranged in this order in the rotation direction of the rotary table; The substrate is rotated to pass through the Si-containing gas supply region, the first purge gas supply region, the nitriding gas supply region, the reformed gas supply region, and the second purge gas supply region in order to sequentially perform adsorption. The step of Si-containing gas, the step of supplying the flushing gas, the step of depositing the SiN film, the step of modifying the SiN film, and the step of supplying the flushing gas. 如申請專利範圍第11項之成膜方法,其中於該氮化氣體供給區域上方之該處理室的外部係設有第1電漿產生器;於該改質氣體供給區域上方之該處理室的外部係設有第2電漿產生器;該第2電漿產生器相對於該第1電漿產生器係設置在較低的位置。For example, the method for film formation under the scope of application for patent No. 11 wherein a first plasma generator is provided outside the processing chamber above the nitriding gas supply area; A second plasma generator is provided on the outside; the second plasma generator is disposed at a lower position relative to the first plasma generator.
TW106106301A 2016-03-02 2017-02-24 Film deposition method TWI675933B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-040217 2016-03-02
JP2016040217A JP6584347B2 (en) 2016-03-02 2016-03-02 Deposition method

Publications (2)

Publication Number Publication Date
TW201800597A TW201800597A (en) 2018-01-01
TWI675933B true TWI675933B (en) 2019-11-01

Family

ID=59723460

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106301A TWI675933B (en) 2016-03-02 2017-02-24 Film deposition method

Country Status (4)

Country Link
US (1) US20170253964A1 (en)
JP (1) JP6584347B2 (en)
KR (1) KR102103058B1 (en)
TW (1) TWI675933B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102675856B1 (en) * 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
KR20220081905A (en) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. Silicon precursors for silicon silicon nitride deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201602383A (en) * 2014-02-10 2016-01-16 東京威力科創股份有限公司 Method for processing a substrate and substrate processing apparatus

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100469126B1 (en) * 2002-06-05 2005-01-29 삼성전자주식회사 Method of forming a thin film with a low hydrogen contents
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
KR100924055B1 (en) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 Production method for semiconductor device and substrate processing device
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7713868B2 (en) * 2007-03-30 2010-05-11 Tokyo Electron Limited Strained metal nitride films and method of forming
US8739214B2 (en) * 2007-11-08 2014-05-27 At&T Intellectual Property I, L.P. Methods, computer program products, and virtual servers for a virtual collaborative environment
WO2013137115A1 (en) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 Film forming process and film forming apparatus
TWI614813B (en) * 2013-01-21 2018-02-11 半導體能源研究所股份有限公司 Method for manufacturing semiconductor device
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TWI688102B (en) * 2013-10-10 2020-03-11 日商半導體能源研究所股份有限公司 Semiconductor device
KR101551199B1 (en) * 2013-12-27 2015-09-10 주식회사 유진테크 Cyclic deposition method of thin film and manufacturing method of semiconductor, semiconductor device
JP2015181149A (en) * 2014-03-06 2015-10-15 株式会社日立国際電気 Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
JP6084179B2 (en) * 2014-04-09 2017-02-22 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
JP6548586B2 (en) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 Deposition method
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
JP6573575B2 (en) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 Method of embedding recess
US10151029B2 (en) * 2016-08-08 2018-12-11 Tokyo Electron Limited Silicon nitride film forming method and silicon nitride film forming apparatus
JP6778144B2 (en) * 2017-04-25 2020-10-28 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
JP7203515B2 (en) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド Bottom-up growth of silicon oxide and silicon nitride using a sequential deposition-etch-processing method
JP6929209B2 (en) * 2017-12-04 2021-09-01 東京エレクトロン株式会社 Silicon nitride film deposition method and film deposition equipment
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201602383A (en) * 2014-02-10 2016-01-16 東京威力科創股份有限公司 Method for processing a substrate and substrate processing apparatus

Also Published As

Publication number Publication date
US20170253964A1 (en) 2017-09-07
TW201800597A (en) 2018-01-01
JP2017157715A (en) 2017-09-07
JP6584347B2 (en) 2019-10-02
KR102103058B1 (en) 2020-04-21
KR20170102810A (en) 2017-09-12

Similar Documents

Publication Publication Date Title
TWI675933B (en) Film deposition method
TWI602943B (en) Method for processing a substrate and substrate processing apparatus
KR102024983B1 (en) Film forming method
TWI678735B (en) Film formation method of silicon nitride film
US10153131B2 (en) Plasma processing apparatus and plasma processing method
CN105097459B (en) Method of plasma processing and plasma processing apparatus
TWI721227B (en) Film forming device and film forming method
KR20180028937A (en) Antenna device, plasma generating device using the same, and plasma processing apparatus
US20190284691A1 (en) Film forming method and film forming apparatus
US11118264B2 (en) Plasma processing method and plasma processing apparatus
TWI672393B (en) Film deposition method
JP7068937B2 (en) Board processing equipment
KR20180054448A (en) Film forming apparatus
JP2018125513A (en) Plasma processing device