TWI673815B - 基板處理系統、基板傳送裝置和傳送方法 - Google Patents

基板處理系統、基板傳送裝置和傳送方法 Download PDF

Info

Publication number
TWI673815B
TWI673815B TW107110292A TW107110292A TWI673815B TW I673815 B TWI673815 B TW I673815B TW 107110292 A TW107110292 A TW 107110292A TW 107110292 A TW107110292 A TW 107110292A TW I673815 B TWI673815 B TW I673815B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
grooves
branches
processing system
Prior art date
Application number
TW107110292A
Other languages
English (en)
Other versions
TW201839887A (zh
Inventor
仲禮 雷
Original Assignee
仲禮 雷
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 仲禮 雷 filed Critical 仲禮 雷
Publication of TW201839887A publication Critical patent/TW201839887A/zh
Application granted granted Critical
Publication of TWI673815B publication Critical patent/TWI673815B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1876Particular processes or apparatus for batch treatment of the devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/22Devices influencing the relative position or the attitude of articles during transit by conveyors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本申請實施例公開了一種基板傳送裝置,其包括:傳送機械臂,所述傳送機械臂上設置有基板傳送叉,所述基板傳送叉包括一條主幹和多條相互平行的分叉,每條所述分叉的一端連接在所述主幹上,另一端為自由端; 每條所述分叉上設置有多個凹槽,每相鄰兩條所述分叉上的多個所述凹槽相對設置;每條所述分叉上的每相鄰兩個凹槽與相鄰分叉上與之相對的兩個凹槽共同形成支撐一片晶片的晶片支撐結構。利用該基板傳送裝置可以在不使用基板承載盤的情況下對基板進行傳送。如此,能夠克服利用基板承載盤的一系列缺陷。基於該基板傳送裝置,本申請還提供了一種基板處理系統以及基板傳送方法。

Description

基板處理系統、基板傳送裝置和傳送方法
本申請涉及基板加工技術領域,尤其涉及一種基板傳送裝置和傳送方法,以及包含該基板傳送裝置的基板處理系統。
目前,利用現有的基板傳送裝置對基板進行傳送時以及利用現有的基板處理系統對基板進行處理例如向基板上澱積薄膜時,需要使用基板承載盤來承載基板。
使用基板承載盤承載基板的方式存在以下缺陷:
第一、在基板處理例如向基板上沉積薄膜過程中,同時也會在基板承載盤上形成沉積,為了防止該形成在基板承載盤上的沉積脫落在基板表面上導致在基板上形成缺陷,需要在基板承載盤上的沉積積累到一定厚度例如0.5至1微米後,清洗基板承載盤。
第二、為了減少工藝反應室內的工藝反應時間以及提高整個系統的生產率,在將基板承載盤傳送至工藝反應室之前,需要在裝卸室(load lock chamber)內對基板承載盤進行預熱,使其接近基板處理溫度。
第三、為了減少澱積薄膜龜裂的可能性,在對基板加工處理完後,基板承載盤暴露在空氣之前,需要對基板承載盤進行冷卻。
此外,對於正、背面均需要處理的基板例如異質結電池來說,需要在基板的正面和背面上均沉積上薄膜,為了避免交叉污染,應用於基板正面澱積和背面澱積工藝過程的基板承載盤需要分開,如此,對於正背面均 需要澱積薄膜的基板來說,在完成一面薄膜澱積後,需要將基板從一個基板承載盤轉移到另一個基板承載盤。
為了避免在基板傳送和基板處理過程中使用基板承載盤,本申請提供了一種基板傳送裝置和方法。
基於本申請提供的基板傳送裝置,本申請還提供了一種包含該基板傳送裝置的基板處理系統。
為了解決上述技術問題,本發明採用了如下技術方案:一種基板傳送裝置,所述基板包括多列呈列排布的晶片,每列晶片包括多片晶片,所述基板傳送裝置包括:傳送機械臂,所述傳送機械臂上設置有基板傳送叉,所述基板傳送叉包括一條主幹和多條相互平行的分叉,每條所述分叉的一端連接在所述主幹上,另一端為自由端;每條所述分叉上設置有多個凹槽,每相鄰兩條所述分叉上的多個所述凹槽相對設置;每條所述分叉上的每相鄰凹槽與相鄰分叉上與之相對的凹槽共同形成支撐一片晶片的晶片支撐結構。
可選地,所述凹槽的側壁為斜坡。
一種基板處理系統,所述基板包括多列呈列排布的晶片,每列晶片包括多片晶片,所述基板處理系統包括:用於裝載基板的裝載室、用於傳送基板的傳送室、用於對基板進行工藝反應處理的工藝反應室以及用於拆卸基板的拆卸室;所述傳送室分別與所述裝載室、所述工藝反應室和所述拆卸室之間連通且設置有隔離閥; 所述傳送室內設置有至少一套如權利要求1或2所述的基板傳送裝置;所述裝載室和所述拆卸室內均分別設置有用於承載基板的各個晶片的晶片承載柱;所述工藝反應室內設置有用於承載基板的基座;在所述基座上設置有多個提升銷,所述多個提升銷與待承載的基板上的各個晶片相對應,且提升銷的表面小於晶片表面,每個所述提升銷能夠將放置在其上的晶片從所述基座上提起。
可選地,設置於所述工藝反應室內的基座上的提升銷能夠上下移動,從而帶動放置在其上的晶片的上下移動。
可選地,所述工藝反應室內還設置有基板傳送叉定位部件,所述基板傳送叉定位部件用於對各個分叉的自由端進行定位,以使基板上的各個晶片和各自對應的提升銷均上下對準。
可選地,所述裝載室和所述拆卸室上下層疊放置。
可選地,設置於所述裝載室內的晶片承載柱能夠對其承載的基板進行加熱,和/或,設置於所述工藝反應室內的基座能夠對其承載的基板進行加熱。
可選地,設置於所述拆卸室內的晶片承載柱能夠對其承載的基板進行冷卻。
可選地,所述傳送室內設置有兩套如上述任一實施例所述的基板傳送裝置,其中一套所述基板傳送裝置用於將基板傳送至所述工藝反應室內,另一套所述基板傳送裝置用於將基板從所述工藝反應室內取走。
可選地,所述系統還包括設置在所述裝載室前端的基板裝載裝置, 所述基板裝載裝置與所述基板傳送裝置的結構相同。
可選地,所述系統還包括設置在所述拆卸室後端的基板拆卸裝置,所述基板拆卸裝置的結構與所述基板傳送裝置的結構相同。
可選地,所述工藝反應室的內部形狀為圓形。
一種基板傳送方法,其特徵在於,所述方法應用于上述任一實施例所述的基板處理系統中,所述方法基於上述任一實施例所述的基板傳送裝置,所述方法包括:在初始室內,基板傳送叉的分叉上的凹槽支撐晶片的下表面的邊緣區域;傳送機械臂將支撐有晶片的基板傳送叉傳送至目標室;傳送機械臂將基板傳送叉上的各個晶片降低放置到目標室內的各自對應的晶片承載結構上,然後將基板傳送叉從晶片的下表面移出。
所述工藝反應室的內部形狀為圓形,所述傳送機械臂將基板傳送叉上的各個晶片降低放置到目標室內的各自對應的晶片承載結構上之前,還包括:目標室內的基板傳送叉定位部件對基板傳送叉上的各個分叉的自由端進行定位,以使每一晶片與其各自對應的晶片承載結構上下對準。
與現有技術相比,本申請至少具有以下優點:由上可知,本申請提供的基板傳送裝置中,每條分叉上每相鄰凹槽與相鄰分叉上與之相對的凹槽共同形成一個用於支撐晶片的晶片支撐結構,如此,每相鄰兩條分叉上的凹槽形成的多個晶片支撐結構能夠支撐一列晶片,在基板傳送過程中,將晶片放置在凹槽內後,晶片在傳送過程中能夠牢固地固定在基板傳送裝置上。同時,利用本申請提供的基板傳送裝 置以及與之相匹配的各腔室內的晶片支撐結構,例如,裝載室和拆卸室內的晶片承載柱或者工藝反應室內的基座以及設置在基座上的提升銷,可以配合基板無需基板承載盤即可實現基板在基板處理系統的各個腔室的傳送。
另外,基於本申請提供的基板傳送裝置、傳送方法以及設置於工藝反應室內的基座以及基座上的提升銷,基板可以直接放置在工藝反應室的基座上,如此,基座可以直接對基板進行加熱,由於基板的品質較小,因此基座可以在很短的時間內即可將基板加熱到處理溫度,如此,有利於提高處理效率。
另外,在工藝反應室內,由於基板可以直接放置在基座上進行處理,在基板處理過程中無需採用基板承載盤,因此,免去了定期清洗基板承載盤的麻煩。另外,對於正、背面均需要處理的基板,由於處理過程無需基板承載盤,因此,也不會出現由於基板承載盤帶來的交叉污染,因此,基於本申請提供的基板傳送裝置、傳送方法以及基板處理系統能夠減少基板的交叉污染,提高基板的良率。
此外,在對基板處理完後,將基板傳送至拆卸室後,可以直接對基板進行冷卻,由於其品質較小,因此,其冷卻速率較快,如此,也會加快基板的處理流程。
綜上,利用本申請提供的基板傳送裝置和基板處理系統可以不用基板承載盤即可完成對基板的傳送和處理,如此,克服了現有技術中採用基板承載盤對基板進行傳送和處理的缺陷,因此,利用本申請提供的基板傳送裝置和基板處理系統能夠簡化基板處理常式,提高基板處理效率。
11‧‧‧基板裝載機器人(atm裝載機器人)
12‧‧‧基板裝載裝置
13‧‧‧裝載室
14‧‧‧傳送室
15‧‧‧工藝反應室
16‧‧‧拆卸室
17‧‧‧基板拆卸裝置
18‧‧‧基板拆卸機器人(ATM卸載機器人)
19‧‧‧隔離閥
21‧‧‧主幹
22‧‧‧分叉
50‧‧‧緩衝室
141‧‧‧第一傳送室
142‧‧‧第二傳送室
151‧‧‧第一工藝反應室
152‧‧‧第二工藝反應室
153‧‧‧第三工藝反應室
154‧‧‧第四工藝反應室
S601‧‧‧步驟
S602‧‧‧步驟
S603‧‧‧步驟
S604‧‧‧步驟
S605‧‧‧步驟
為了更清楚地說明本申請實施例或現有技術中的技術方案,下面將對實施例或現有技術描述中所需要使用的附圖作簡單地介紹,顯而易見地,下面描述中的附圖僅僅是本申請中記載的一些實施例,對於本領域普通技術人員來講,在不付出創造性勞動的前提下,還可以根據這些附圖獲得其它的附圖。
圖1是本申請實施例提供的一種基板處理系統框架示意圖;圖2是本申請實施例提供的基板傳送叉的結構示意圖;圖3是本申請實施例提供的將基板放置在基板傳送叉上由基板傳送叉支撐的結構示意圖;圖4是本申請實施例提供的另一種基板處理系統框架示意圖;圖5是本申請實施例提供的又一種基板處理系統框架示意圖;圖6是本申請實施例提供的基板傳送方法的流程示意圖。
為了使本技術領域的人員更好地理解本申請方案,下面將結合本申請實施例中的附圖,對本申請實施例中的技術方案進行清楚、完整地描述,顯然,所描述的實施例僅是本申請一部分實施例,而不是全部的實施例。基於本申請中的實施例,本領域普通技術人員在沒有做出創造性勞動前提下所獲得的所有其他實施例,都屬於本申請保護的範圍。
需要說明,本申請實施例所述的基板包括多列呈列排布的晶片,每列晶片包括多片晶片。
圖1是本申請實施例提供的基板處理系統框架示意圖。如圖1所示,該基板處理系統包括:基板裝載機器人(ATM loading robot)11、用於裝載基板的基板裝載 裝置12、用於裝載基板的裝載室13、用於傳送基板的傳送室14、基板處理的工藝反應室15、用於拆卸基板的拆卸室16以及基板拆卸裝置17,以及基板拆卸機器人(ATM unloading robot)18;其中,所述傳送室14分別與所述裝載室13、所述處理室15和所述拆卸室16之間分別連通且設置有隔離閥19;所述傳送室14內設置有至少一套基板傳送裝置;所述裝載室13和所述拆卸室16內均分別設置有用於承載基板的各個晶片的晶片承載柱;需要說明,一個晶片承載柱用於承載一片晶片,如此,待承載基板上有多少片晶片,在裝載室13和拆卸室16內就需要設置多少個晶片承載柱。
所述基板裝載裝置12設置在裝載室13的前端,其用於將基板裝載機器人11從盛放晶片的金屬盒內取出的基板裝載到裝載室13內,更具體地說,裝載到裝載室13內的晶片承載柱上。
所述基板拆卸裝置17設置在拆卸室16的後端,其用於將基板從拆卸室16內的晶片承載柱上取走並傳輸到基板拆卸機器人18,通過基板拆卸機器人18將基板上的各個晶片盛放到晶片金屬盒內。
下面介紹傳送室14的具體內部結構。
如上所述,傳送室14內設置有至少一套基板傳送裝置,該基板傳送裝置能夠不通過基板承載盤直接傳送基板。該基板傳送裝置包括傳送機械臂,所述傳送機械臂上設置有基板傳送叉,其中,基板傳送叉的結構示意圖如圖2所示,所述基板傳送叉包括一條主幹21和多條相互平行的分叉22,每條所述分叉22的一端連接在主幹21上,另一端為自由端;一般情況下,基板的形狀多為多邊形或圓形,與基板的形狀相適應,主幹21可以 為圓弧狀主幹。
每條所述分叉22上設置有多個凹槽(圖2中未示出),每相鄰兩條所述分叉上的多個所述凹槽相對設置;每條所述分叉22上的每相鄰凹槽與相鄰分叉上與之相對的凹槽共同形成支撐一片晶片的晶片支撐結構。如此,同一分叉上的連續的凹槽支撐晶片的同一條邊的邊緣區域。其空留出的下表面中心區域可以方便基座上的提升銷從晶片的下面頂住晶片,從而完成基板的傳送過程。
由相鄰分叉上的凹槽形成的晶片支撐結構能夠使得晶片在傳送過程中牢固地固定在基板傳送裝置上,從而實現基板的穩定傳送。另外,側壁為斜坡的凹槽也有利於晶片穩定在晶片支撐結構的中心區域。
作為示例,將基板放置在基板傳送叉上由基板傳送叉支撐的結構示意圖如圖3所示。在圖3中,一個個四邊形表示一片片晶片。
需要說明,在本申請實施例中,基板傳送裝置上的傳送機械臂可以做伸展、收縮以及上下運動,以便於基板傳送裝置將基板傳送到目標位置。在本申請實施例中,傳送室14內的基板傳送裝置能夠將基板從裝載室12傳送到工藝反應室15,以進行處理。該基板傳送裝置還可以將處理後的基板從工藝反應室15傳送到拆卸室16。
進一步地,為了便於晶片從凹槽上取下,上述所述的凹槽的側壁為斜坡,如此,當需要將晶片從傳送叉上取下時,晶片經過該斜坡可以從傳送叉上滑落,如此可以輕易地從分叉上取下晶片。放置在其內的晶片可以卡在叉槽的上下開口之間,如此還可以使得晶片在傳送過程中牢固地固定在晶片支撐結構內。
需要說明,在本申請實施例中,由於晶片為四邊形,並且其拐角一 般為圓角,所以,由相鄰兩個分叉上的四個凹槽形成的承載晶片的結構可以看作是中空的四棱臺結構。而且,該四棱臺結構的棱角為圓角,晶片的邊緣區域卡在該四棱臺結構上。
在本申請實施例中,傳送室14內的基板傳送裝置既可以將基板從裝載室13內傳送至工藝反應室15,也可以將處理後的基板從工藝反應室15傳送至拆卸室16。
為了提高基板處理系統處理基板的產量,在傳送室14內可以設置有兩套基板傳送裝置,其中,一套基板傳送裝置用於將待處理基板從裝載室13內傳送至工藝反應室15,另一套基板傳送裝置用於將處理後的基板從工藝反應室15取走並傳送至拆卸室16。因而,利用該兩套基板傳送裝置可以使得向工藝反應室15內傳送基板以及將基板從工藝反應室內取走的工序可以緊接著先後進行,期間不需要等待裝片時間,所以,在傳送室14內設置有兩套傳送裝置能夠減少工藝反應室15上的隔離閥的打開時間,從而使得工藝反應室15內的非反應處理時間最小化。
另外,為了提高基板處理系統處理基板的產量,減小裝載室13的隔離閥的打開時間,設置在裝載室13前端的基板裝載裝置可以為兩套,其中,當一套基板裝載裝置裝載上基板向裝載室13內傳送基板時,裝載機器人11從盛放基板的金屬盒內取基板並將該基板放置到另一套基板裝載裝置。如此,當裝載室13的隔離閥再次打開後,可以直接將已經放置到基板裝載裝置上的基板傳送到裝載室13內,如此,當裝載室13的隔離閥打開後,就不用再等待向基板裝載裝置上放置基板,因而能夠減少裝載室13的隔離閥的打開時間,有利於提高基板處理系統的產量。
基於同樣的原理,設置在拆卸室16後端的基板拆卸裝置17也可以為 兩套,其中,當放置在一套基板拆卸裝置的基板通過卸載機器人18取下放到金屬盒內時,另一套基板拆卸裝置在拆卸室16內裝片,如此,能夠減少拆卸室17的隔離閥的打開時間,有利於提高基板處理系統的產量。
為了配合將基板裝載到基板傳送裝置上以及將基板從上述基板傳送裝置上取下,在上述基板處理系統的工藝反應室15內設置有用於承載基板的基座。該基座上設置有多個提升銷,設置在基座上的提升銷與待承載的基板上的晶片相對應,且提升銷的表面小於晶片表面。每個提升銷通過頂住晶片下表面的靠近中心區域將放置在基座上的晶片從基座上提起,從而暴露出晶片的下表面邊緣區域,進而空留出邊緣區域方便分叉上的凹槽支撐晶片。如此,設置在基座上的提升銷的排布方式可以與晶片在基板上的排布方式相同,具體地說,提升銷可以在基座上呈列排布,每列提升銷包括多個提升銷。
其中,設置在工藝反應室15內的基座上的提升銷可以上下移動,該提升銷的上下移動能夠帶動放置在其上的晶片上下移動。作為一示例,提升銷可以貫穿基座的上下表面。
作為本申請的一具體實施例,在上述工藝反應室15內還可以設置有基板傳送叉定位部件,所述基板傳送叉定位部件用於對各個分叉的自由端進行定位,以使傳送的基板上的各個晶片和基座上的各自對應的提升銷上下對準。更具體地說,基板傳送叉定位部件的作用是為了所述基板傳送裝置將所述基板傳送至工藝反應室內的基座的正上方且每一片晶片分別位於其對應的提升銷的正上方,從而實現每個晶片與提升銷的上下對準。
此外,設置在工藝反應室15內的基座也可以對放置在其上的基板進行加熱,從而使基板溫度達到處理溫度。由於在本申請實施例中,基板直 接放置在基座上,由於基板的品質較小,所以,能夠較快速地就能將基板的溫度加熱到需要的溫度。
另外,當基板處理系統為PECVD系統時,基座一般作為等離子反應系統的下電極,並且通過向工藝反應室內上下兩電極之間施加RF功率或直流功率在工藝反應室內發生等離子體反應生成活化反應粒子。
另外,相較於現有技術中的基板承載盤放置在上下電極之間的基板處理系統,由於基板承載盤會產生上下電極之間的電場不確定因素,在本申請實施例提供的基板處理系統,能夠將待處理基板直接放在等離子體反應系統的上下電極之間,因而不會在上下電極之間產生電場不確定因素,因此本申請提供的基板處理系統具有很高的工藝重複性。
作為本申請的一可選實施例,為了減小基板在工藝反應室15內的工藝反應時間,設置於裝載室13內的晶片承載柱能夠對放置在其上的基板進行加熱,使加熱後的基板溫度接近基板處理溫度。作為本申請的另一可選實施例,設置於所述拆卸室16內的晶片承載柱能夠對其承載的基板進行冷卻。
作為本申請的一具體實施例,上述所述的基板裝載裝置12和基板拆卸裝置17的結構可以與上述所述的基板傳送裝置的結構相同。如此,能夠在基板裝載和拆卸的時候也無需採用基板承載盤。另外,為了配合基板裝載裝置12和基板拆卸裝置17裝載和拆卸基板,所述裝載室13和所述拆卸室16內均分別設置有用於承載基板的各個晶片的晶片承載柱,該晶片承載柱的結構還能夠有利於基板裝載裝置12、設置於傳送室14內的基板傳送裝置以及基板拆卸裝置取走和放置晶片。
作為本申請的一具體實施例,上述所述的工藝反應室14的內部形狀 可以為圓形,該內部為圓形的工藝反應室14能夠提高等離子體射頻源以及氣體流量的均勻性。
為了減小基板處理系統的體積,減少其占地面積,在本申請實施例中,裝載室13和拆卸室16上下層迭放置。為了進一步減少基板處理系統的體積,所述裝載室12的放置位置與所述拆卸室15的放置位置呈90度偏轉。
作為本申請的另一實施例,裝載室13和拆卸室16也可以水準並行放置。
作為本申請的一可選實施例,為了實現對基板的預熱,尤其當裝載室13內的晶片承載柱不能對基板進行加熱時,所述基板處理系統還可以包括設置在所述裝載室13前端並與所述裝載室13連通的預熱室(圖中未示出),所述預熱室與所述裝載室13之間設置有隔離閥。所述預熱室內設置有用於承載基板的基座,所述基座上設置有多個提升銷,所述多個提升銷與待承載的基板上的多個晶片相對應,且提升銷的表面小於晶片表面,每個所述提升銷能夠將放置在其上的晶片從所述基座上提起。
另外,為了實現對處理後的基板的冷卻,尤其當拆卸室16內的晶片承載柱不能對基板進行冷卻時,所述基板處理系統還可以包括設置在所述拆卸室後端並與所述拆卸室連通的冷卻室,所述冷卻室與所述拆卸室16之間設置有隔離閥;所述冷卻室內設置有用於承載基板的基座,所述基座上設置有多個提升銷,所述多個提升銷與待承載的基板上的多個晶片相對應,且提升銷的表面小於晶片表面,每個所述提升銷能夠將放置在其上的晶片從所述基座上提起。
當利用上述實施例提供的基板處理系統對基板進行處理時,基板在上述實施例提供的基板處理系統內的整個處理過程如下:需要說明,在圖1所示的基板處理系統中,箭頭表示基板的走向。基板裝載機器人11將基板傳送到基板裝載裝置12上,然後,基板裝載裝置12將基板傳送到裝載室13的晶片承載柱的正上方,並且在基板上的每一晶片和與其對應的晶片承載柱對準後,將基板上的各個晶片降到各自對應的晶片承載柱上,然後基板裝載裝置12從裝載室13內移出,關閉裝載室13前端的隔離閥。
打開裝載室13與傳送室14之間以及傳送室14與工藝反應室15之間的隔離閥,設置於傳送室14內的基板傳送裝置將基板從裝載室13的晶片承載柱上轉移到其上的分叉上,並利用分叉上的凹槽形成的晶片承載結構將基板上的一片片晶片支撐固定,然後傳送至工藝反應室15的基座的提升銷上。當基板處理系統中包括預熱室時,基板先從裝載室13傳送到預熱室中,在預熱室內完成對基板的預熱後,再由傳送室14內的基板傳送裝置將基板從預熱室內傳送至工藝反應室15內。
關閉裝載室13與傳送室14之間以及傳送室14與工藝反應室15之間的隔離閥,在工藝反應室15內對基板進行處理,待基板在工藝反應室15內處理完後,打開傳送室14與工藝反應室15之間以及工藝反應室15與拆卸室16之間的隔離閥,傳送室14內的基板傳送裝置再將基板從工藝反應室15的基座上取下,然後傳送至拆卸室16的晶片承載柱基座的提升銷上。在拆卸室16內對基板進行冷卻處理,待冷卻後,基板拆卸裝置17將基板從拆卸室16的晶片承載柱上取下,然後傳送至基板拆卸機器人18,再由由基板拆卸機器人18從拆卸室15的基座上取下放到用於盛放晶片的金屬 盒內。基板拆卸機器人16的結構也可以與上述傳送室14內的基板傳送裝置的結構類似。另外,當基板處理系統包括冷卻室時,處理後的基板先從工藝反應室15內由傳送室14內的基板傳送裝置將其傳送至拆卸室16,然後,然後再從拆卸室16傳送至冷卻室內。
需要說明,在基板處理過程中,裝載室13和拆卸室16內可以為真空環境,也可以為大氣環境,而在傳送室14以及工藝反應室15內均為真空環境。
另外,在基板處理領域,一個基板可能需要多個處理過程,如在基板表面上沉積多層薄膜,為了避免交叉污染,每個處理過程均需要一個獨立的工藝反應室。如此,為了滿足該要求,作為本申請的一具體實施例,上述所述的處理系統可以包括多個工藝反應室以及多個傳送室。該基板處理系統對應的結構示意圖如圖4所示。
作為示例,圖4所示的基板處理系統結構與圖1所示的基板處理系統基本相同,其不同之處在於,圖4所示的基板處理系統包括兩個傳送室:第一傳送室141和第一傳送室142和四個工藝反應室:第一工藝反應室151至第四工藝反應室154。其中,第一傳送室141與第一工藝反應室151至第二工藝反應室152連通,且之間分別設置有隔離閥,第二傳送室142與第三工藝反應室153至第四工藝反應室154連通,且之間分別設置有隔離閥。如此,第一傳送室141用於在裝載室13、拆卸室16和第一工藝反應室151和第二工藝反應室152之間傳送基板,第二傳送室142用於在裝載室13、拆卸室16和第三工藝反應室153和第四工藝反應室154之間傳送基板。
需要說明,圖4所示的基板處理系統可以看作是雙叢聚系統。在該雙叢聚系統內,在第一工藝反應室151、第二工藝反應室152、第三工藝反 應室153和第四工藝反應室154可以對基板進行不同的處理,如沉積不同厚度和/或不同類型的薄膜。更具體地,第一工藝反應室151和第二工藝反應室152可以對基板的同一表面進行處理,第三工藝反應室153和第四工藝反應室154可以對基板的另一表面進行處理。需要說明,在圖4所示的基板處理系統中,根據預先設置的基板的工藝處理方式,在第一工藝反應室151和第二工藝反應室152內可以對基板採用同一處理工藝條件對基板進行處理,也可以採用不同的處理工藝條件對基板進行處理。同樣,在第三工藝反應室153和第四工藝反應室154內,可以對基板採用同一處理工藝條件對基板進行處理,也可以採用不同的處理工藝條件對基板進行處理。
然而由於不同處理過程所需的時間不同,如果一塊基板按照處理順序從上一工藝反應室處理完後,直接進行下一工藝反應室進行後續處理,有可能會基板等待處理的情形,從而導致處理效率低下,為了避免這種情況,如圖5所示,在圖4所示的基板處理系統中還可以包括一緩衝室50,該緩衝室50與第一傳送室141和第二傳送室142之間連通且之間分別設置有隔離閥,如此,通過第一傳送室141和第二傳送室142可以將基板在第一工藝反應室151至第四工藝反應室154與緩衝室50之間傳送。該緩衝室50用於集中並保護基板。
在該緩衝室50內設置有用於承載基板上的各個晶片的晶片承載結構,該晶片承載結構可以為如設置在裝載室13或拆卸室內的晶片承載柱,也可以為如設置在工藝反應室15內的基座以及提升銷。
作為本申請的一具體實施例,圖4和圖5所示的基板處理系統可以應用於對基板進行多層薄膜澱積的工藝過程,例如異質結電池結構的沉積。
當圖4和圖5所示的基板處理系統應用於異質結電池結構的沉積時,作為示例。第一工藝反應室151至第四工藝反應室154可以分別用於產生光伏裝置的摻雜層以及未摻雜層的各層,例如P型摻雜(如摻雜硼的矽層)、I型(如本徵矽層)以及N型摻雜(如摻雜磷的矽層)。需要說明,在本申請實施例中,基板處理系統中各個腔室可以以環狀方式排列形成叢聚式(cluster)系統,也可以以線性方式排列形成線性排列的基板處理系統。其中,採用叢聚式(cluster)系統結構的基礎處理系統有利於減少占地面積。
作為示例,上述所述的基板處理系統可以為PECVD(plasma-enhancement chemical vapor deposition,等離子體增強化學氣相沉積)工藝處理系統。
當基板處理系統為PECVD工藝處理系統時,工藝反應室15內設置有上下相對的兩個電極。基板放置在基座(通常為下電極)上。基座對放置在其上的基板進行加熱,使其達到薄膜沉積溫度。在太陽能應用中,薄膜沉積溫度一般在180攝氏度到250攝氏度之間。反應氣體和惰性氣體從上面的噴淋頭裡噴出並分散到基板表面。在工藝反應室15內,利用射頻功率能夠在基座和噴淋頭之間產生等離子體,如此,在基板表面澱積上一層或多層薄膜。
基於上述實施例提供的基板處理系統,本申請還提供了一種基板傳送方法的具體實施方式,具體參見以下實施例。
作為示例,本申請以將基板從裝載室傳送到工藝反應室為例進行說明。圖6是本申請實施例提供的基板傳送方法的流程示意圖。如圖6所示,該基板傳送方法包括以下步驟:
S601、基板傳送叉的分叉上的凹槽支撐位於裝載室13的晶片承載柱 上的晶片的下表面的邊緣區域。
S602、傳送機械臂將支撐有晶片的基板傳送叉傳送至工藝反應室15。
S603、工藝反應室15內的基板傳送叉定位部件對傳送晶片的基板傳送叉上的各個分叉的自由端進行定位,以使每一晶片與其各自對應的預先從基座上提起的提升銷上下對準。
S604、基板傳送叉將基板上的各個晶片降低放置到工藝反應室內的與其各自對應的預先提起的提升銷上,然後將基板傳送叉從晶片的下表面移出:需要說明,為了在基板傳送叉將基板上的各個晶片放到提升銷後,方便基板傳送叉從基板的下表面抽離,需要在將晶片放置到提升銷上之前,預先將各個晶片對應的提升銷提起。
S605、控制工藝反應室15內的基座上移,直至基座的上表面與提升銷的上表面齊平,以將位於提升銷上的晶片轉移到基座上。
需要說明,上述示例的基板傳送方法是以將基板從裝載室傳送到工藝反應室。然而,在基板處理過程中,會有多個基板傳送過程。每個傳送過程與上述示例的傳送過程基本相同。作為本申請實施例的擴展,利用本申請實施例提供的基板傳送裝置,可以將基板從初始室傳送到目標室。其中,當初始室為裝載室時,目標室為工藝反應室,當初始室為工藝反應室時,目標室為拆卸室。
以上為本申請實施例提供的基板傳送方法的具體實施方式。
由上可知,本申請提供的基板傳送裝置中,每條分叉上每相鄰兩個凹槽與相鄰分叉上與之相對的兩個凹槽共同形成一個用於支撐一片晶片的 晶片支撐結構,如此,每相鄰兩條分叉上的凹槽形成的多個凹槽能夠支撐一列晶片,在基板傳送過程中,將晶片放置在凹槽內後,晶片在傳送過程中能夠牢固地固定在基板傳送裝置上。同時,利用本申請提供的基板傳送裝置以及與之相匹配的各腔室內的基座以及設置在基座上的提升銷,可以配合基板無需基板承載盤即可實現基板在基板處理系統中的傳送,例如,從裝載室傳送到工藝反應室。
另外,基於本申請提供的基板傳送裝置、傳送方法以及設置於工藝反應室內的基座以及基座上的提升銷,基板可以直接放置在工藝反應室的基座上,如此,基座可以直接對基板進行加熱,由於基板的品質較小,因此基座可以在很短的時間內即可將基板加熱到處理溫度,如此,有利於提高處理效率。
另外,由於基板可以直接放置在基座上,在基板處理過程中無需採用基板承載盤,因此,免去了定期清洗基板承載盤的麻煩。
另外,對於正、背面均需要處理的基板,由於處理過程無需基板承載盤,因此,也不會出現由於基板承載盤帶來的交叉污染,因此,基於本申請提供的基板傳送裝置、傳送方法以及基板處理系統能夠減少基板的交叉污染,提高基板的良率。
此外,在對基板處理完後,將基板傳送至拆卸室後,可以直接對基板進行冷卻,由於其品質較小,因此,其冷卻速率較快,如此,也會加快基板的處理流程。
綜上,利用本申請提供的基板傳送裝置和基板處理系統可以不用基板承載盤即可完成對基板的傳送和處理,如此,克服了現有技術中採用基板承載盤對基板進行傳送和處理的缺陷,因此,利用本申請提供的基板傳 送裝置和基板處理系統能夠簡化基板處理常式,提高基板處理效率。
以上所述,僅是本申請的較佳實施例而已,並非對本申請作任何形式上的限制。雖然本申請已以較佳實施例揭露如上,然而並非用以限定本申請。任何熟悉本領域的技術人員,在不脫離本申請技術方案範圍情況下,都可利用上述揭示的方法和技術內容對本申請技術方案做出許多可能的變動和修飾,或修改為等同變化的等效實施例。因此,凡是未脫離本申請技術方案的內容,依據本申請的技術實質對以上實施例所做的任何簡單修改、等同變化及修飾,均仍屬於本申請技術方案保護的範圍內。

Claims (25)

  1. 一種用於至少輸送一第一基板列及一第二基板列之輸送裝置,該輸送裝置包含:一輸送叉,其具有一主體及複數個分支,該等分支中之每一者的一第一末端連接至該主體且該等分支中之每一者的一第二末端系一自由末端;其中該複數個分支中之一第一分支具有第一複數個凹槽,且該複數個分支中之鄰近該第一分支的一第二分支具有第二複數個凹槽;且其中該第一複數個凹槽中之一者及相對於該第一複數個凹槽中之該一者的該第二複數個凹槽中之一者共同支撐該第一基板列中之基板中之一者。
  2. 如請求項1之輸送裝置,其中該第一複數個凹槽中之一者的一側壁傾斜。
  3. 如請求項1之輸送裝置,其進一步包含耦接至該輸送叉之一機械臂。
  4. 如請求項3之輸送裝置,其中該機械臂經結構設計以將該第一基板列及該第二基板列垂直地、水準地或既垂直又水準地移動。
  5. 如請求項1之輸送裝置,其中該第一分支與該第二分支相對於彼此平行。
  6. 如請求項1之輸送裝置,其中該第一分支處之該第一複數個凹槽及該第二分支處之該第二複數個凹槽經結構設計以支撐該第一列中之該等基板中的各別者。
  7. 如請求項6之輸送裝置,其中該複數個分支中之一第三分支具有第三複數個凹槽,該第三複數個凹槽經結構設計以支撐該第二列中之該等基板中的各別者。
  8. 如請求項1之輸送裝置,其中該輸送裝置經結構設計以將該第一基板列及該第二基板列輸送至一製程反應腔室,及/或自該製程反應腔室移除該第一基板列及該第二基板列。
  9. 一種處理系統,其包含:如請求項1之輸送裝置,其中該輸送裝置位於一輸送腔室中;一裝載腔室,其用於裝載該第一基板列及該第二基板列;及一製程反應腔室,其用於對該第一基板列及該第二基板列進行製程反應處理。
  10. 如請求項9之處理系統,其進一步包含用於卸載該第一基板列及該第二基板列之一卸載腔室。
  11. 如請求項10之處理系統,其中該裝載腔室及該卸載腔室系以一上下 配置而堆疊。
  12. 如請求項9之處理系統,其進一步包含在該輸送腔室與該裝載腔室之間的一第一隔離閥,及在該輸送腔室與該製程反應腔室之間的一第二隔離閥。
  13. 如請求項9之處理系統,其中該製程反應腔室之一內部形狀系圓形。
  14. 如請求項9之處理系統,其中該製程反應腔室包含經結構設計以分別支撐該第一列及該第二列中之該等基板的台座。
  15. 如請求項14之處理系統,其進一步包含經結構設計以分別支撐該第一列及該第二列中之該等基板的柱,且其中該等柱中之一者的一橫截面積小於該第一列及該第二列中之該等基板中之一各別者的一表面積。
  16. 如請求項15之處理系統,其中該等柱經結構設計以向上移動從而使該第一列及該第二列中之該等基板遠離該等台座而置放,及/或向下移動從而使該第一列及該第二列中之該等基板朝向該等台座而置放。
  17. 如請求項15之處理系統,其中該等柱及/或該等台座結構設計以提供基板加熱。
  18. 如請求項9之處理系統,其進一步包含一定位裝置,該定位裝置經結 構設計以移動該輸送叉從而使該第一列及該第二列中之該等基板與各別支撐結構垂直地對準。
  19. 如請求項18之處理系統,其中該等支撐結構經結構設計以提供基板冷卻。
  20. 如請求項9之處理系統,其進一步包含配置於該裝載腔室之一前端處的一裝載裝置,其中該裝載裝置包含:一額外輸送叉,其具有一主體及複數個分支,該額外輸送叉中之該等分支中之每一者的一第一末端連接至該額外輸送叉之該主體且該額外輸送叉中之該等分支中之每一者的一第二末端系一自由末端;其中該額外輸送叉中之該複數個分支中之一第一分支具有第一複數個凹槽,且該額外輸送叉中之該複數個分支中之一第二分支具有第二複數個凹槽;且其中與該額外輸送叉相關聯之該第一複數個凹槽中的一者及與該額外輸送叉相關聯之該第二複數個凹槽中的一者經結構設計以支撐該第一列中之該等基板中之一者。
  21. 如請求項9之處理系統,其進一步包含一卸載腔室及配置於該卸載腔室之一後端處的一卸載裝置,其中該卸載裝置包含:一額外輸送叉,其具有一主體及複數個分支,該額外輸送叉中之該等分支中之每一者的一第一末端連接至該額外輸送叉之該主體且該額外輸送叉中之該等分支中之每一者的一第二末端系一自由末端; 其中該額外輸送叉中之該複數個分支中之一第一分支具有第一複數個凹槽,且該額外輸送叉中之該複數個分支中之一第二分支具有第二複數個凹槽;且其中與該額外輸送叉相關聯之該第一複數個凹槽中的一者及與該額外輸送叉相關聯之該第二複數個凹槽中的一者經結構設計以支撐該第一列中之該等基板中之一者。
  22. 如請求項9之處理系統,其進一步包含在該輸送腔室中之一額外輸送裝置,其中該輸送裝置經結構設計以用於將該第一列及該第二列中之該等基板輸送至該製程反應腔室,且該額外輸送裝置經結構設計以自該製程反應腔室移除該第一列及該第二列中之該等基板。
  23. 如請求項1之輸送裝置,其中該輸送叉經結構設計以免除對使用基板支撐托架之一需要。
  24. 一種輸送方法,其包含:提供具有一主體及複數個分支之一輸送叉,該等分支中之每一者的一第一末端連接至該主體且該等分支中之每一者的一第二末端系一自由末端,其中該複數個分支中之一第一分支具有第一複數個凹槽,且該複數個分支中之鄰近該第一分支的一第二分支具有第二複數個凹槽;藉由該輸送叉之該等分支的該第一複數個凹槽及相對於該第一複數個凹槽的該第二複數個凹槽來支撐複數個基板;及降低該輸送叉以將該等基板置放至各別支撐結構上。
  25. 如請求項24之輸送方法,其中該等支撐結構系在一腔室中,且其中該輸送方法進一步包含定位該等分支之自由末端以使該等基板與該等各別支撐結構對準。
TW107110292A 2017-03-28 2018-03-26 基板處理系統、基板傳送裝置和傳送方法 TWI673815B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??201710193126.9 2017-03-28
CN201710193126.9A CN108666231B (zh) 2017-03-28 2017-03-28 基板处理***、基板传送装置和传送方法

Publications (2)

Publication Number Publication Date
TW201839887A TW201839887A (zh) 2018-11-01
TWI673815B true TWI673815B (zh) 2019-10-01

Family

ID=63669846

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107110292A TWI673815B (zh) 2017-03-28 2018-03-26 基板處理系統、基板傳送裝置和傳送方法

Country Status (3)

Country Link
US (1) US11024762B2 (zh)
CN (1) CN108666231B (zh)
TW (1) TWI673815B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111219978A (zh) * 2020-03-18 2020-06-02 无锡先导智能装备股份有限公司 中转装置及烘干设备
CN114512574B (zh) * 2022-04-20 2022-06-17 季华实验室 一种异质结太阳能电池的连续化制造设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120143366A1 (en) * 2008-04-03 2012-06-07 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method for successively processing a plurality of substrates
US20120325148A1 (en) * 2011-06-22 2012-12-27 Asm Japan K.K. Method for Positioning Wafers in Multiple Wafer Transport

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8276959B2 (en) * 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
TW201027784A (en) * 2008-10-07 2010-07-16 Applied Materials Inc Advanced platform for processing crystalline silicon solar cells
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US8698104B2 (en) * 2009-11-09 2014-04-15 Varian Semiconductor Equipment Associates, Inc. System and method for handling multiple workpieces for matrix configuration processing
US20110245957A1 (en) * 2010-04-06 2011-10-06 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
KR101232610B1 (ko) * 2010-05-19 2013-02-13 삼성전자주식회사 기판 처리장치
CN202072285U (zh) * 2011-05-24 2011-12-14 深圳市华星光电技术有限公司 面板传送装置及其面板支撑机构
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
US8814239B2 (en) * 2012-02-15 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Techniques for handling media arrays
US9061423B2 (en) * 2013-03-13 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
US20140265394A1 (en) * 2013-03-13 2014-09-18 Varian Semiconductor Equipment Associates, Inc. Composite end effectors
US9004564B2 (en) * 2013-03-13 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Wafer handling apparatus
US9412638B2 (en) * 2014-03-27 2016-08-09 Varian Semiconductor Equipment Associates, Inc. End effector pads
JP6410689B2 (ja) * 2015-08-06 2018-10-24 住友重機械イオンテクノロジー株式会社 イオン注入装置及びそれを用いた複数枚のウェハの処理方法
US9862101B2 (en) * 2015-12-22 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Self-damping end effector

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120143366A1 (en) * 2008-04-03 2012-06-07 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method for successively processing a plurality of substrates
US20120325148A1 (en) * 2011-06-22 2012-12-27 Asm Japan K.K. Method for Positioning Wafers in Multiple Wafer Transport

Also Published As

Publication number Publication date
US11024762B2 (en) 2021-06-01
US20180287007A1 (en) 2018-10-04
CN108666231A (zh) 2018-10-16
TW201839887A (zh) 2018-11-01
CN108666231B (zh) 2022-04-26

Similar Documents

Publication Publication Date Title
CN108666232B (zh) 基板处理***、基板翻转装置和方法
TWI584409B (zh) 用於薄基板之可攜式靜電夾盤載體
KR101390474B1 (ko) 기판처리장치
US9698042B1 (en) Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
CN101622703B (zh) 基板支持框架及基板处理设备和以此设备装卸基板的方法
KR20160006630A (ko) 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법
TWI496239B (zh) 基板支撐框架及包含該框架之基板處理設備
US20110308458A1 (en) Thin Film Deposition Apparatus
KR102125512B1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2021218760A1 (zh) 传送载板、真空镀膜设备及真空镀膜方法
KR20110139079A (ko) 박막 증착 장치
TWI673815B (zh) 基板處理系統、基板傳送裝置和傳送方法
US12009186B2 (en) Device for transporting substrate, treatment device with receiving plate adapted to substrate carrier of a device of this kind, and method for processing a substrate using a device of this kind for the transport of a substrate, and treatment facility
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템
KR101478151B1 (ko) 대면적 원자층 증착 장치
JP6282983B2 (ja) 基板処理装置
CN110735125A (zh) 用于制造异质结太阳能电池的pecvd设备及镀膜方法
CN206742216U (zh) 基板传送装置及包含该基板传送装置的基板处理***
KR101669913B1 (ko) 트레이 및 이를 사용하는 기판처리장치
KR101904802B1 (ko) 기판 트레이 및 이를 포함하는 기판 처리 장치
JP2012104831A (ja) 熱処理方法及び熱処理装置
KR101499465B1 (ko) 원자층 증착 공정용 대면적 기판 처짐 방지 물류 장치
JPH1041368A (ja) 基板搬送装置
KR20110006089A (ko) 기판 이송 로봇 및 이를 갖는 기판 처리 장치