TWI672737B - Tungsten nucleation process to enable low resistivity tungsten feature fill - Google Patents

Tungsten nucleation process to enable low resistivity tungsten feature fill Download PDF

Info

Publication number
TWI672737B
TWI672737B TW103145125A TW103145125A TWI672737B TW I672737 B TWI672737 B TW I672737B TW 103145125 A TW103145125 A TW 103145125A TW 103145125 A TW103145125 A TW 103145125A TW I672737 B TWI672737 B TW I672737B
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
feature
layer
filling
Prior art date
Application number
TW103145125A
Other languages
Chinese (zh)
Other versions
TW201526090A (en
Inventor
拉許納 胡瑪雲
蘇哈 馬南哈爾
米歇爾 丹納克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/173,733 external-priority patent/US9159571B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201526090A publication Critical patent/TW201526090A/en
Application granted granted Critical
Publication of TWI672737B publication Critical patent/TWI672737B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/964Roughened surface

Abstract

在此揭露半導體處理中將低電阻率之鎢沉積至基板之特徵物內的方法。本方法涉及在鎢成核層沉積期間使用含鍺還原試劑以達成薄而低電阻率的成核層。A method for depositing low-resistivity tungsten into a feature of a substrate in semiconductor processing is disclosed herein. The method involves the use of a germanium-containing reducing agent during the deposition of a tungsten nucleation layer to achieve a thin and low resistivity nucleation layer.

Description

允許低電阻率鎢特徵物填充之鎢成核程序Tungsten Nucleation Procedure Allowing Low Resistivity Tungsten Feature Filling

本發明係關於成核程序,尤其是關於低電阻率鎢特徵物填充的鎢成核程序。 The invention relates to a nucleation process, and in particular to a tungsten nucleation process filled with low-resistivity tungsten features.

含鎢材料的沉積是許多半導體製造程序中不可或缺的部分。這些材料可用於水平互連、毗連金屬層之間的通孔、矽基板上之第一金屬層與元件之間的接點、以及高深寬比的特徵物。半導體基板的習知鎢沉積程序中,基板在真空腔室中加熱至處理溫度,然後沉積做為種子或成核層的極薄部分鎢膜。之後,將其餘部分的鎢膜(本體層)沉積在成核層上。本體層通常比成核層沉積的更快。 The deposition of tungsten-containing materials is an integral part of many semiconductor manufacturing processes. These materials can be used for horizontal interconnections, vias between adjacent metal layers, contacts between the first metal layer and a component on a silicon substrate, and features with high aspect ratios. In a conventional tungsten deposition procedure for a semiconductor substrate, the substrate is heated to a processing temperature in a vacuum chamber, and then an extremely thin portion of the tungsten film is deposited as a seed or nucleation layer. After that, the remaining tungsten film (bulk layer) is deposited on the nucleation layer. The bulk layer is usually deposited faster than the nucleation layer.

具有極低電阻之漸趨細薄的鎢質電連接將使更小臨界尺寸的元件得以完成。儘管習知的方法能夠沉積成核層,其在小臨界尺寸之特徵物內設置沉積低電阻率之鎢所用的成核層能力是受限的。例如,邏輯接點的形成已隨著深寬比增加到高於10:1而變得更具挑戰性。使用習知的鎢沉積技術在像是這些極為先進(aggressive)之特徵物中的無空隙填充是有所疑難的。 Increasingly thin tungsten electrical connections with extremely low resistance will enable smaller critical size components to be completed. Although the conventional method is capable of depositing a nucleation layer, its ability to provide a nucleation layer for depositing low-resistivity tungsten in features of small critical size is limited. For example, the formation of logical junctions has become more challenging as the aspect ratio increases above 10: 1. The use of conventional tungsten deposition techniques for void-free filling in features such as these extremely advanced is problematic.

此處所提供者係沉積鎢的方法,包括在基板上以鎢填充特徵物的方法。 Provided herein are methods for depositing tungsten, including a method of filling features with tungsten on a substrate.

在一態樣中,以鎢填充基板上之特徵物的方法涉及在沉積本體鎢層之前,藉由將特徵物暴露於含鍺還原劑與含鎢前驅體的交替脈衝以形成鎢成核層。在各實施例中,所形成的鎢成核層具有約1nm與20nm之間的厚度。某些實施例中,所形成的鎢成核層具有少於約1nm的厚度。各實施例中,每循環的鎢沉積量約低於8Å。 In one aspect, the method of filling a feature on a substrate with tungsten involves forming a tungsten nucleation layer by exposing the feature to alternating pulses of a germanium-containing reducing agent and a tungsten-containing precursor before depositing a bulk tungsten layer. In various embodiments, the tungsten nucleation layer formed has a thickness between about 1 nm and 20 nm. In some embodiments, the tungsten nucleation layer formed has a thickness of less than about 1 nm. In each embodiment, the amount of tungsten deposited per cycle is less than about 8Å.

某些實施例中,鎢成核層在氫大氣中形成。各實施例中,所有形成在特徵物內的鎢實質上為α-鎢。諸多實施例中,含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。 In some embodiments, the tungsten nucleation layer is formed in a hydrogen atmosphere. In each embodiment, all the tungsten formed in the feature is substantially α-tungsten. In many embodiments, the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ).

某些實施例中,本方法也包括藉由化學氣相沉積沉積本體鎢層。某些實施例中,在本體鎢層沉積期間的晶粒生成從鎢成核的第一位置擴展至鎢在特徵物內成核的第二位置。諸多實施例中,所有在本體鎢層沉積期間形成的鎢實質上為α-鎢。某些實施例中,本體鎢層至少為90%的α-鎢。在某些實施例中,本體鎢層至少為99%的α-鎢。 In some embodiments, the method also includes depositing a bulk tungsten layer by chemical vapor deposition. In some embodiments, grain generation during bulk tungsten layer deposition extends from a first location where tungsten nucleates to a second location where tungsten nucleates within a feature. In many embodiments, all tungsten formed during the deposition of the bulk tungsten layer is substantially alpha-tungsten. In some embodiments, the bulk tungsten layer is at least 90% alpha-tungsten. In some embodiments, the bulk tungsten layer is at least 99% alpha-tungsten.

另一態樣涉及以鎢填充基板上之特徵物的方法,此方法藉由形成鎢成核層以及在形成鎢成核層之後、沉積本體鎢層之前以含鍺還原試劑的脈衝處理鎢成核層。各實施例中,在處理期間並未有其他前驅體的穿插脈衝。某些實施例中則進行含鎢前驅體的穿插脈衝,使得處理期間之受脈衝的含鎢前驅體量少於成核層形成期間之受脈衝的含鎢前驅體量。某些實施例中,在具有含鎢前驅體之穿插脈衝的處理期間實質上並未有鎢沉積。在諸多實施例中,含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。 Another aspect relates to a method of filling features on a substrate with tungsten. The method comprises forming a tungsten nucleation layer and treating the tungsten nucleation with a pulse containing a germanium reducing agent after forming the tungsten nucleation layer and before depositing the bulk tungsten layer. Floor. In various embodiments, there were no intervening pulses of other precursors during processing. In some embodiments, pulses of tungsten-containing precursors are interspersed so that the amount of pulsed tungsten-containing precursors during processing is less than the amount of pulsed tungsten-containing precursors during nucleation layer formation. In some embodiments, substantially no tungsten is deposited during the processing of the interstitial pulse with the tungsten-containing precursor. In many embodiments, the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ).

另一態樣涉及填充基板上之特徵物的設備,包括具有腔室以及用於控制設備內之操作之控制器的多腔室設備。腔室可包括基板支架以及設置為將基板暴露於氣體的一或更多氣體流入口。該控制器可包括用於將特徵物暴露於含鍺還原試劑與含鎢前驅體之交替脈衝的機器可讀指令。 Another aspect involves an apparatus for filling features on a substrate, including a multi-chamber apparatus having a chamber and a controller for controlling operations within the apparatus. The chamber may include a substrate holder and one or more gas flow inlets configured to expose the substrate to a gas. The controller may include machine-readable instructions for exposing the feature to alternating pulses of a germanium-containing reducing reagent and a tungsten-containing precursor.

在各實施例中,控制器也包括在特徵物暴露於含鍺還原試劑與含鎢前驅體的交替脈衝時用以將氫流入腔室所用的指令。在諸多實施例中,含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。在各實施例中,多腔室設備也包括處理腔室,而控制器也包括在沒有含鎢前驅體之穿插脈衝的情況下用於對含鍺還原試劑脈衝的指令。 In various embodiments, the controller also includes instructions for flowing hydrogen into the chamber when the feature is exposed to alternating pulses of a germanium-containing reducing reagent and a tungsten-containing precursor. In many embodiments, the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ). In various embodiments, the multi-chamber device also includes a processing chamber, and the controller also includes instructions for pulsing a germanium-containing reducing reagent in the absence of a pulse of tungsten-containing precursor.

這些和其他態樣在以下參照圖式進一步說明。 These and other aspects are further described below with reference to the drawings.

100‧‧‧結構 100‧‧‧ Structure

110‧‧‧成核膜 110‧‧‧nucleation membrane

120‧‧‧本體鎢材料 120‧‧‧ bulk tungsten material

200A、202A‧‧‧數據點 200A, 202A‧‧‧ data points

200B‧‧‧峰值 200B‧‧‧peak

650‧‧‧特徵物 650‧‧‧Features

651‧‧‧剖面 651‧‧‧ section

680‧‧‧介電層 680‧‧‧ Dielectric layer

675‧‧‧開口 675‧‧‧ opening

655‧‧‧頂表面 655‧‧‧top surface

653‧‧‧襯墊層 653‧‧‧ cushion

700‧‧‧系統 700‧‧‧ system

701‧‧‧晶圓來源模組 701‧‧‧ Wafer Source Module

703‧‧‧傳輸模組 703‧‧‧Transmission Module

709‧‧‧反應器 709‧‧‧reactor

711、713、715、717‧‧‧站點 711, 713, 715, 717‧‧‧ sites

719‧‧‧大氣傳輸腔室 719‧‧‧ Atmospheric Transmission Chamber

721‧‧‧負載閘 721‧‧‧Load brake

750‧‧‧系統控制器 750‧‧‧System Controller

按照某些實施例,圖1為以鎢成核層與本體層所填充之特徵物的概要圖。 According to some embodiments, FIG. 1 is a schematic diagram of features filled with a tungsten nucleation layer and a bulk layer.

圖2A與2B為說明各實施例中β-鎢與α-鎢之存在的圖表。 2A and 2B are graphs illustrating the existence of β-tungsten and α-tungsten in each embodiment.

根據各實施例,圖3係說明在以鎢填充特徵物之方法中的操作程序流程圖。 According to various embodiments, FIG. 3 is a flowchart illustrating an operation procedure in a method of filling a feature with tungsten.

根據各實施例,圖4A為具有沉積鎢成核層的特徵物範例影像。 According to various embodiments, FIG. 4A is an example image of a feature having a deposited tungsten nucleation layer.

根據各實施例,圖4B為具有沉積鎢成核層與鎢本體層的特徵物範例影像。 According to various embodiments, FIG. 4B is an example image of a feature having a deposited tungsten nucleation layer and a tungsten bulk layer.

根據各實施例,圖5係說明在以鎢填充特徵物之方法中的操作程序流程圖。 According to various embodiments, FIG. 5 is a flowchart illustrating an operation procedure in a method of filling a feature with tungsten.

根據各實施例,圖6A與6B說明低電阻率處理中的氣體脈衝次序。 6A and 6B illustrate a gas pulse sequence in a low-resistivity process according to various embodiments.

根據各實施例,圖6C係說明在以鎢填充特徵物之方法中的操作程序流程圖。 According to various embodiments, FIG. 6C is a flowchart illustrating an operation procedure in a method for filling a feature with tungsten.

按照某些實施例,圖6D為在程序之各階段的特徵物剖面概要圖。 According to some embodiments, FIG. 6D is a schematic cross-sectional view of a feature at each stage of the procedure.

根據所揭露的實施例,圖7為適於進行鎢沉積程序之處理系統的概要圖。 According to the disclosed embodiment, FIG. 7 is a schematic diagram of a processing system suitable for performing a tungsten deposition process.

根據所揭露的實施例,圖8係說明以成核沉積循環為函數的膜厚圖表。 According to the disclosed embodiment, FIG. 8 illustrates a film thickness chart as a function of nucleation deposition cycle.

根據所揭露的實施例,圖9係說明以膜厚為函數的電阻率圖表。 According to the disclosed embodiment, FIG. 9 illustrates a resistivity chart as a function of film thickness.

根據所揭露的實施例,圖10係說明所沉積之鎢膜電阻率的圖表。 FIG. 10 is a graph illustrating the resistivity of the deposited tungsten film according to the disclosed embodiment.

以下的說明中,提出諸多特定細節以提供所呈現之實施例的完善理解。所揭露的實施例可在沒有部份或全部此等特定細節下實施。其他情形中,習知的程序操作未予詳述以免不必要地混淆所揭露的實施例。儘管所揭露的實施例結合特定的實施方式說明,但可了解到這並非意欲限制所揭露的實施例。 In the following description, numerous specific details are provided to provide a thorough understanding of the embodiments presented. The disclosed embodiments may be practiced without some or all of these specific details. In other cases, conventional procedures have not been described in detail to avoid unnecessarily obscuring the disclosed embodiments. Although the disclosed embodiments are described in connection with specific implementations, it can be understood that this is not intended to limit the disclosed embodiments.

隨著元件尺寸趨於更小的技術節點,在鎢(W)填充中有各種的挑戰。一挑戰在於防止因為接點及孔洞之較薄膜層所致的電阻增加。隨著特徵物變得更小,鎢的接點電阻或線電阻因為在較薄之鎢膜中的散射效應而增加。低電阻率的鎢膜將積體電路設計中的功率損耗與過熱作用降到最低。習知的化學氣相沉積(chemical vapor deposition(CVD))鎢沉積程序涉及之後有CVD本體鎢沉積的成核層沉積。儘管高效的鎢沉積程序使用鎢成核層,但這些層體通常具有 比本體層更高的電阻率。沉積在接點、孔洞及其他特徵物的阻障層也可能具有高電阻率。阻障薄膜與鎢成核薄膜進一步占了較小之特徵物的較大百分比,增加了特徵物內的整體電阻。鎢膜的電阻率取決於所沉積之膜層的厚度,並因為邊界效應而在極低的厚度下增加。 As component sizes move toward smaller technology nodes, there are various challenges in tungsten (W) filling. One challenge is to prevent increased resistance due to the thinner film layers of contacts and holes. As features become smaller, the contact resistance or line resistance of tungsten increases due to the scattering effect in thinner tungsten films. The low-resistivity tungsten film minimizes power loss and overheating in integrated circuit designs. The conventional chemical vapor deposition (CVD) tungsten deposition process involves the deposition of a nucleation layer followed by a CVD bulk tungsten deposition. Although efficient tungsten deposition procedures use tungsten nucleation layers, these layers typically have Higher resistivity than bulk layer. Barrier layers deposited on contacts, holes, and other features may also have high resistivity. The barrier film and tungsten nucleation film further account for a larger percentage of the smaller features, increasing the overall resistance within the features. The resistivity of a tungsten film depends on the thickness of the deposited film layer and increases at extremely low thicknesses due to boundary effects.

圖1顯示在形成於基板上的孔洞或接點結構100中,由成核膜110與本體鎢材料120所佔據的體積。因為成核層的電阻率比本體層的電阻率更高(ρ成核層本體層),故應將成核層的厚度最小化以維持盡可能低的總電阻。另一方面,鎢成核層應有足以覆蓋在下方之基板的厚度以維持高品質的本體沉積。 FIG. 1 shows the volume occupied by the nucleation film 110 and the bulk tungsten material 120 in the hole or contact structure 100 formed on the substrate. Because the resistivity of the nucleation layer is higher than that of the bulk layer (ρ nucleation layer > ρ bulk layer ), the thickness of the nucleation layer should be minimized to maintain the total resistance as low as possible. On the other hand, the tungsten nucleation layer should have a thickness sufficient to cover the underlying substrate to maintain high-quality bulk deposition.

像是孔洞或接點孔的特徵物可以用窄及/或凹型孔口的開口、在特徵物內的束縮(constriction)、以及高深寬比中的一或更多者做為特徵。特徵物的範例可包括基板內或基板上之層體內的特徵孔,像是顯示於圖1中的特徵物。基板可以是矽晶圓,例如200-mm的晶圓、300-mm的晶圓或450-mm的晶圓,包括具有一或更多材料層的晶圓,像是在其上沉積有介電質、導體或半導體材料。特徵物可形成在這些層體的一或更多者內。在某些實施例中,特徵物可具有至少約為2:1、至少約為4:1、至少約為6:1、至少約為10:1或更高的深寬比。特徵孔也可在開口附近具有例如介於約10nm至500nm之開口直徑或線寬(像是在約25nm與約300nm之間)的規模。特徵孔可指未受填充的特徵物或特徵物。 Features such as holes or contact holes can be characterized by one or more of narrow and / or concave aperture openings, constriction within the feature, and high aspect ratio. Examples of features may include feature holes in the substrate or in a layer on the substrate, such as the features shown in FIG. 1. The substrate may be a silicon wafer, such as a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including a wafer having one or more layers of material, such as a dielectric deposited thereon Materials, conductors or semiconductor materials. Features can be formed in one or more of these layers. In some embodiments, the features may have an aspect ratio of at least about 2: 1, at least about 4: 1, at least about 6: 1, at least about 10: 1, or higher. The feature hole may also have a size in the vicinity of the opening, such as an opening diameter or line width (such as between about 25 nm and about 300 nm) of about 10 nm to 500 nm. Feature holes may refer to features or features that are not filled.

可能具有凹型孔口外形的特徵物可以有從底部、封閉端點或特徵物內部往特徵物開口逐漸變窄的剖面。各實施例中,特徵物可具有像是阻障層或吸附層的在下層體。在下層體的非限定範例包括介電層與導體層,例如矽氧化物層、矽氮化物層、矽碳化物層、金屬氧化物層、金屬氮化物層、金屬碳化 物層與金屬層。某些實施例中,在下層體可以是鈦氮化物(TiN)、鈦金屬(Ti)、鎢氮化物(WN)、鎢鋁化物(TiAl)或鈦氧化物(TiOx)。 A feature that may have the shape of a concave orifice may have a section that gradually narrows from the bottom, the closed end, or inside the feature toward the feature opening. In various embodiments, the feature may have an underlying layer such as a barrier layer or an adsorption layer. Non-limiting examples of the underlying layer include a dielectric layer and a conductive layer, such as a silicon oxide layer, a silicon nitride layer, a silicon carbide layer, a metal oxide layer, a metal nitride layer, a metal carbide layer, and a metal layer. In some embodiments, the underlying body may be titanium nitride (TiN), titanium metal (Ti), tungsten nitride (WN), tungsten aluminide (TiAl), or titanium oxide (TiO x ).

特徵物可在特徵物內的中點具有一或更多束縮處,使得在鎢沉積期間可能發生掐段(pinch off),以及在特徵物的該部分受到填充之前,所沉積的鎢會阻擋沉積物進一步通過束縮處。此特徵物可用於邏輯元件中,像是在垂直反及閘(vertical NAND,VNAND)結構的字元線中。 The feature may have one or more beam constrictions at the midpoint within the feature, such that pinch off may occur during tungsten deposition, and the deposited tungsten will block until that part of the feature is filled The deposit passes further through the constriction. This feature can be used in logic elements, such as word lines in a vertical NAND (VNAND) structure.

為了本說明書的目的,「開口附近」定義為:相當於從場區測起,約0%及約10%間之特徵物深度的附近處或特徵物內的區域(亦即沿著特徵物的側壁)。某些實施例中,開口附近的區域相當於在開口的區域。進一步地,「特徵物內部」係定義為:相當於從特徵物頂部的場區測起,在約20%與約60%間之特徵物深度的附近區域或特徵物內的區域。通常,當某些參數(例如厚度)值係具體指定為「開口附近」的或「在特徵物內」的,則這些值代表在這些位置/區域內所取得的一量測值或多個量測值的平均。某些實施例中,開口附近之下方層體的平均厚度至少比特徵物內部多10%。更為特定的實施例中,此差異可約為至少25%、約為至少50%或約為至少100%。特徵物內的材料分布亦可以其階梯覆蓋率作為特徵。為了本說明書的目的,「階梯覆蓋率」定義為兩厚度(亦即在特徵物內部的材料厚度除以在開口附近的材料厚度)的比值。某些範例中,襯墊層或其他下方層體的階梯覆蓋率係低於約100%,或更為具體而言,係低於約75%或甚至低於約50%。 For the purposes of this specification, "near the opening" is defined as: the area near or within the feature equivalent to the depth of the feature between about 0% and about 10% measured from the field area (that is, along the feature Sidewall). In some embodiments, the area near the opening is equivalent to the area near the opening. Further, “inside the feature” is defined as: a region near the feature or a region within the feature equivalent to a depth of the feature between about 20% and about 60% measured from a field region on the top of the feature. Generally, when certain parameter (such as thickness) values are specifically designated as "near the opening" or "within a feature", these values represent a measurement or multiple values obtained in these locations / areas The average of the measurements. In some embodiments, the average thickness of the underlying layer near the opening is at least 10% greater than the interior of the feature. In more specific embodiments, this difference can be about at least 25%, about at least 50%, or about at least 100%. The material distribution within a feature can also be characterized by its step coverage. For the purposes of this specification, "step coverage" is defined as the ratio of two thicknesses (ie, the thickness of the material inside the feature divided by the thickness of the material near the opening). In some examples, the step coverage of the cushion layer or other underlying layers is less than about 100%, or more specifically, less than about 75% or even less than about 50%.

鎢成核層可沉積在特徵物內以保形地塗佈特徵物的側壁及底部,或者在兩側具有開口之橫向特徵物的情況中,塗布特徵物的側壁。大體而言,成核層可以是適合本體材料隨後易於形成在其上的薄型保形層。在下方之 特徵物的底部及側壁保形對於維持高品質的沉積而言至為關鍵。成核層往往使用原子層沉積(atomic layer deposition(ALD))或脈衝成核沉積(pulsed nucleation layer(PNL))方法所沉積。 A tungsten nucleation layer can be deposited within the feature to conformally coat the sidewall and bottom of the feature, or in the case of a lateral feature with openings on both sides, the sidewall of the feature is coated. In general, the nucleation layer may be a thin conformal layer suitable for a bulk material that is subsequently easily formed thereon. Below The conformation of the bottom and sidewalls of the features is critical to maintaining high-quality deposits. Nucleation layers are often deposited using atomic layer deposition (ALD) or pulsed nucleation layer (PNL) methods.

在PNL技術中,反應物的脈衝通常藉由在反應物之間的沖洗氣體脈衝而依序注入及吹洗離開反應腔室。第一反應物會吸附到基板上而可用於與下一反應物反應。此程序以循環方式重複直至達到所需的厚度。PNL類似於ALD技術。PNL通常以其較高的操作壓力範圍(大於1Torr)及其每循環的較高生成率(每循環有大於1單屬膜的生成)而與ALD有所區別。在PNL沉積期間的腔室壓力範圍可從約1Torr至約400Torr。在此處所提供的說明背景中,PNL係廣泛地包含「依序添加供半導體基板上之反應所用的反應物」的任何循環程序。因此,此概念包含慣常稱之為ALD的技術。在所揭露之實施例的背景中,CVD包含在其中將反應物同時引入反應器以供蒸氣相反應所用的程序。PNL及ALD程序係有別於於CVD程序,反之亦然。 In PNL technology, the pulses of reactants are usually injected and purged out of the reaction chamber sequentially by a pulse of flushing gas between the reactants. The first reactant is adsorbed onto the substrate and can be used to react with the next reactant. This procedure is repeated in a cyclic manner until the desired thickness is reached. PNL is similar to ALD technology. PNL is usually distinguished from ALD by its higher operating pressure range (greater than 1 Torr) and its higher generation rate per cycle (greater than 1 single-membrane formation per cycle). The chamber pressure during PNL deposition can range from about 1 Torr to about 400 Torr. In the context of the description provided herein, PNL is broadly encompassing any cyclic procedure of "sequentially adding reactants for reactions on a semiconductor substrate". Therefore, this concept includes a technique commonly referred to as ALD. In the context of the disclosed embodiment, CVD includes a procedure in which reactants are simultaneously introduced into a reactor for a vapor phase reaction. PNL and ALD procedures are different from CVD procedures and vice versa.

在鎢成核層沉積的諸多情況中,依序受到注入之反應物的某一者可以是含硼還原試劑(例如硼烷(BH3)或二硼烷(B2H6))或含矽還原試劑(例如矽烷(SiH4))。然而,含硼還原試劑與含矽還原試劑兩者引起了某些顧慮。使用二硼烷作為還原試劑導致了每循環有較厚的成核膜沉積。這是因為二硼烷的沉積沒有自限制性。因此二硼烷取代了表面上的飽和單屬層沉積並在特徵物表面上累積與生成,從而在表面上產生較多的二硼烷。在含鎢前驅體與二硼烷反應時,較厚的二硼烷層會導致較厚的鎢成核層。因此在使用二硼烷時,鎢成核層往往以每循環約4Å至約12Å的厚度沉積。 In many cases of tungsten nucleation layer deposition, one of the reactants sequentially injected may be a boron-containing reducing agent (such as borane (BH 3 ) or diborane (B 2 H 6 )) or silicon-containing Reducing reagents (such as silane (SiH 4 )). However, both boron-containing reducing agents and silicon-containing reducing agents raise certain concerns. The use of diborane as a reducing agent resulted in thicker nucleation film deposition per cycle. This is because the deposition of diborane is not self-limiting. Therefore, the diborane replaces the deposition of the saturated single metal layer on the surface and accumulates and generates on the surface of the feature, thereby generating more diborane on the surface. When a tungsten-containing precursor reacts with diborane, a thicker diborane layer results in a thicker tungsten nucleation layer. Therefore, when using diborane, the tungsten nucleation layer is often deposited at a thickness of about 4Å to about 12Å per cycle.

某些情形中,成核層沉積之後為用以改善電阻率的沉積後處理,像是以含硼還原試劑(如二硼烷(B2H6))的連續脈衝處理成核層。另一替代方案係將成核層暴露於二硼烷及六氟化鎢(WF6)的交替脈衝。儘管受二硼烷處理的成核層可具有較低的電阻率,其潛在的問題仍會因為本體鎢膜中之硼-10(10B)(硼的同位素)的存在而產生。 In some cases, the nucleation layer is deposited after the post-deposition treatment to improve resistivity, such as processing the nucleation layer with a continuous pulse of a boron-containing reducing agent such as diborane (B 2 H 6 ). Another alternative is to expose the nucleation layer to alternating pulses of diborane and tungsten hexafluoride (WF 6 ). Despite nucleation layer diborane process may have a lower resistivity, which is still a potential problem due to the presence of boron in the bulk tungsten film -10 (10 B) (isotopes of boron) is generated.

硼-10的存在引發了整合問題,像是在化學機械平坦化(chemical mechanical planarization(CMP))期間的處理缺陷,或是因為同位素硼-10與熱中子相互作用所致的軟性錯誤率。具體而言,硼-10與化學物在CMP期間反應形成可溶解的硼酸而導致邊緣腐蝕、柱塞拉引與其他缺陷。這些缺陷對於邏輯元件中所沉積的鎢膜特別令人有所顧慮。 The presence of boron-10 raises integration issues, such as processing defects during chemical mechanical planarization (CMP), or soft error rates due to the interaction of the isotope boron-10 with thermal neutrons. Specifically, boron-10 reacts with chemicals to form soluble boric acid during CMP, leading to edge corrosion, plunger pull, and other defects. These defects are of particular concern for tungsten films deposited in logic elements.

使用含硼還原試劑的另一考量可能是其對於形成在特徵物內之鎢種類的影響。圖2A為描寫鎢膜電阻率的圖表,其中鎢膜的沉積係使用二硼烷及六氟化鎢的脈衝以用於成核層沉積、接著是用於沉積後處理的二硼烷脈衝、最後是CVD本體鎢沉積。數據點200A與202A分別代表約105Å及130Å之鎢膜的電阻率,其中該等鎢膜即使用此方法沉積,且CVD本體鎢以300℃沉積。y軸代表所有已沉積之鎢(包括成核層與本體的CVD鎢兩者)的電阻率,而x軸代表包括成核層與CVD本體鎢兩者的全部鎢沉積物厚度。如圖所示,在電阻率隨著厚度減少前,硼基底之鎢膜沉積物的電阻率曲線有些微的增加。注意在點202A的較低鎢膜電阻率。應當注意,電阻率曲線的特徵也可能取決於所採用的CVD程序;之後有395℃之CVD的硼基底成核層就未隨著厚度增加展現出相同的電阻率增加。 Another consideration for using a boron-containing reducing agent may be its effect on the type of tungsten formed in the feature. FIG. 2A is a graph describing resistivity of a tungsten film, in which a tungsten film is deposited using a pulse of diborane and tungsten hexafluoride for nucleation layer deposition, followed by a diborane pulse for post-deposition treatment, It is CVD bulk tungsten deposition. Data points 200A and 202A represent the resistivities of tungsten films of approximately 105 Å and 130 Å, respectively. The tungsten films were deposited using this method, and the CVD bulk tungsten was deposited at 300 ° C. The y-axis represents the resistivity of all deposited tungsten (including both the nucleation layer and the bulk CVD tungsten), and the x-axis represents the total tungsten deposit thickness including both the nucleation layer and the CVD bulk tungsten. As shown in the figure, before the resistivity decreases with the thickness, the resistivity curve of the tungsten film deposit on the boron substrate slightly increases. Note the lower tungsten film resistivity at point 202A. It should be noted that the characteristics of the resistivity curve may also depend on the CVD procedure used; subsequent boron substrate nucleation layers with 395 ° C CVD did not exhibit the same resistivity increase with increasing thickness.

使用X射線繞射評估由點200A及202A所代表的鎢膜。在圖2A中以點200A所代表的膜層在圖2B中顯示出對應β-鎢之存在的峰值200B。以點202A所代表的膜層顯示出對應α-鎢之存在的峰值202B。此數據代表β-鎢的存在會增加整體鎢膜的電阻率。β-鎢具有亞穩態的A15立方晶體結構並展現比α-鎢的穩態體心立方結構更高的電阻率。在未以特定理論約束的情況下,吾人相信硼基底的成核層可在某些厚度的鎢膜中導致較高電阻率之β-鎢的存在。如同以下所進一步論述,沉積在鍺基底成核層上的鎢膜並未展現如圖2A所示的電阻率行為;電阻率不是隨著厚度增加而減少。 X-ray diffraction was used to evaluate the tungsten film represented by points 200A and 202A. The film layer represented by point 200A in FIG. 2A shows a peak 200B corresponding to the presence of β-tungsten in FIG. 2B. The film layer represented by point 202A shows a peak 202B corresponding to the presence of α-tungsten. This data indicates that the presence of β-tungsten increases the resistivity of the overall tungsten film. β-tungsten has a metastable A15 cubic crystal structure and exhibits a higher resistivity than the steady state body-centered cubic structure of α-tungsten. Without being bound by a particular theory, I believe that the nucleation layer of a boron substrate can lead to the presence of β-tungsten with higher resistivity in tungsten films of certain thicknesses. As discussed further below, the tungsten film deposited on the germanium substrate nucleation layer does not exhibit the resistivity behavior shown in FIG. 2A; the resistivity does not decrease with increasing thickness.

吾人希望成核層形成良好的表面以供本體鎢沉積。矽烷(SiH4)已在成核層沉積中做為含硼還原試劑的替代方案。然而,為使矽烷基底成核層產生良好的本體鎢生成,通常需要像是至少大於50Å厚之層體的較厚鎢成核層厚度。因為鎢成核層的電阻率較高,這導致了鎢膜的較高整體電阻率。在每循環之厚度中所缺少的顆粒度也導致了較低的程序控制。 We hope that the nucleation layer forms a good surface for bulk tungsten deposition. Silane (SiH 4 ) has been used as an alternative to boron-containing reducing agents in nucleation layer deposition. However, in order for the silane-based bottom nucleation layer to produce good bulk tungsten formation, a thicker tungsten nucleation layer thickness, such as a layer that is at least greater than 50 Å thick, is generally required. Because the resistivity of the tungsten nucleation layer is higher, this results in a higher overall resistivity of the tungsten film. The lack of granularity in the thickness of each cycle also results in lower program control.

此處所提供者係以鎢填充特徵物的方法及相關的系統與設備。應用的範例包括邏輯與記憶體接點填充、動態隨機存取記憶體(DRAM)之埋藏字元線填充、垂直整合記憶體閘極/字元線填充、以及具有矽通孔(TSV)的3D整合。說明於此的方法可用於填充像是鎢通孔的垂直特徵物與像是VNAND字元線的水平特徵物。此等方法可用於保形與由底往上或由內而外的填充。 Provided herein is a method of filling features with tungsten and related systems and equipment. Examples of applications include logic and memory contact padding, buried word line filling of dynamic random access memory (DRAM), vertically integrated memory gate / word line filling, and 3D with TSV Integration. The method described here can be used to fill vertical features like tungsten vias and horizontal features like VNAND word lines. These methods can be used for conformal and bottom-up or inside-out filling.

已發現使用含鍺還原試劑所沉積的鎢膜可提供產生α-鎢之生成的低電阻率鎢成核薄膜,且所產生的膜層在邏輯元件中僅引起少量缺陷或未引起缺陷。尤其,使用含鍺還原試劑的鎢成核膜沉積在每循環沉積了較薄的膜層,從而降低電阻率並對所產生之成核膜的厚度提供更細微的控制。這些成核薄層 也對鎢的本體層沉積促進良好的鎢生成。此外,當含鎢前驅體與每循環所沉積的含鍺膜反應時,以X射線光電子頻譜所量測之留存於基板的含鍺膜沉積量很少,這暗示特徵物內的大部分膜層還原成為了元素鎢。 It has been found that a tungsten film deposited using a germanium-containing reducing agent can provide a low-resistivity tungsten nucleation film that produces alpha-tungsten, and that the resulting film layer causes only a few or no defects in the logic element. In particular, a tungsten nucleation film containing a germanium reducing agent is deposited on each cycle to deposit a thinner film layer, thereby reducing the resistivity and providing finer control over the thickness of the nucleation film produced. Nucleation layer The bulk layer deposition of tungsten also promotes good tungsten formation. In addition, when the tungsten-containing precursor reacts with the germanium-containing film deposited per cycle, the deposition amount of the germanium-containing film remaining on the substrate measured by the X-ray photoelectron spectrum is small, which implies that most of the film layers in the feature The reduction becomes elemental tungsten.

按照各實施例,圖3是以鎢填充特徵物之方法的程序流程圖。某些實施例中,方法300可在約200℃與約400℃之間的溫度下進行。某些實施例中,方法300可在約1Torr與約300Torr之間的壓力下進行。在這些範圍以外的溫度和壓力可根據特定的實施方式而使用。操作301中,基板曝於含鍺還原試劑的脈衝。作為一範例,基板可以是具有待以鎢填充之一或更多特徵物的基板。按照各實施例,基板特徵物具有至少為10:1、至少為15:1、至少20:1、至少25:1或至少30:1的深寬比。又,根據各實施例,特徵物尺寸係以特徵物開口尺寸做為深寬比以外或替代深寬比的特徵。開口可以從10nm到100nm、或10nm到50nm寬。例如在某些實施例中,無論深寬比為何,此等方法利於使用於具有窄開口的特徵物。某些實施例中,凹陷特徵物形成在基板上的介電層內,且特徵物的底部設置對下方金屬層的接點。又在某些實施例中,特徵物包括在其側壁及/或底部的襯墊層/阻障層。襯墊層的範例包括Ti/TiN、TiN與WN。除擴散阻障層之外或代替擴散阻障層,特徵部可包括像是吸附層、成核層、其結合的層體或任何其他適於對特徵部之側壁及底部襯墊的材料。某些實施例中,特徵物為凹型孔口的特徵物,亦即,襯墊層或其他材料會形成部分遮擋特徵物開口的懸伸物。 According to various embodiments, FIG. 3 is a flowchart of a method of filling a feature with tungsten. In some embodiments, method 300 may be performed at a temperature between about 200 ° C and about 400 ° C. In some embodiments, the method 300 may be performed under a pressure between about 1 Torr and about 300 Torr. Temperatures and pressures outside these ranges can be used according to specific embodiments. In operation 301, the substrate is exposed to a pulse of a germanium-containing reducing agent. As an example, the substrate may be a substrate having one or more features to be filled with tungsten. According to various embodiments, the substrate features have an aspect ratio of at least 10: 1, at least 15: 1, at least 20: 1, at least 25: 1, or at least 30: 1. In addition, according to each embodiment, the feature size is a feature in which the feature opening size is used in addition to or instead of the aspect ratio. The opening can be from 10 nm to 100 nm, or 10 nm to 50 nm wide. For example, in some embodiments, these methods are useful for features with narrow openings regardless of the aspect ratio. In some embodiments, the recessed feature is formed in a dielectric layer on the substrate, and the bottom of the feature is provided with a contact to the underlying metal layer. In still other embodiments, the features include a cushion layer / barrier layer on the sidewalls and / or the bottom thereof. Examples of the backing layer include Ti / TiN, TiN, and WN. In addition to or instead of the diffusion barrier layer, the features may include, for example, an adsorption layer, a nucleation layer, a layered body thereof, or any other material suitable for lining the sidewalls and bottom of the features. In some embodiments, the feature is a feature of a concave orifice, that is, a cushion layer or other material forms an overhang that partially blocks the feature opening.

可使用能夠分解或反應以形成能夠將含鎢前驅體還原成為元素鎢之層體的任何含鍺化合物。範例包括像是GenHn+4、GenHn+6、GenHn+8以及GenHm的鍺烷,其中n為從1到10的整數,且n與m為不同的整數。也可使用其他的含鍺化合物,例如烷基鍺烷、烷基鍺、氨基鍺烷、碳鍺烷以及鹵基鍺烷。大體而言, 鹵基鍺烷可能不具備有效的還原位能,但可能有使用鹵基鍺烷而適於形成膜層的程序條件與含鎢前驅體。 Any germanium-containing compound capable of decomposing or reacting to form a layer capable of reducing the tungsten-containing precursor to elemental tungsten can be used. Examples include germanes such as Ge n H n + 4 , Ge n H n + 6 , Ge n H n + 8 and Ge n H m , where n is an integer from 1 to 10 and n and m are different Integer. Other germanium-containing compounds can also be used, such as alkylgermanes, alkylgermanes, aminogermanes, carbogermanes, and halogermanes. In general, halogermanes may not have effective reduction potential, but there may be procedural conditions and tungsten-containing precursors that are suitable for film formation using halogermanes.

脈衝時間的範例可在約0.25秒與約30秒之間、約從0.25秒至約5秒或約0.5秒至約3秒。脈衝可足以使基板特徵物的表面飽和。某些實施例中,脈衝可足以使基板特徵物的表面過於飽和。某些實施例中可使用例如氬(Ar)、氦(He)或氮(N2)的載體氣體。 Examples of pulse times may be between about 0.25 seconds and about 30 seconds, from about 0.25 seconds to about 5 seconds, or about 0.5 seconds to about 3 seconds. The pulse may be sufficient to saturate the surface of the substrate feature. In some embodiments, the pulse may be sufficient to oversaturate the surface of the substrate feature. A carrier gas such as argon (Ar), helium (He), or nitrogen (N 2 ) may be used in certain embodiments.

各實施例中,操作301可在氫環境中進行。例如,氫可以流至腔室,在該處基板以至少為10:1、或至少為50:1、或至少為70:1、或至少為100:1的氫對含鍺還原試劑比例受到處理。如下所進一步論述,在氫環境中的沉積可減少每循環所沉積的厚度,並減少所沉積之鎢膜的電阻率。 In various embodiments, operation 301 may be performed in a hydrogen environment. For example, hydrogen can flow to the chamber where the substrate is treated with a hydrogen to germanium-containing reducing agent ratio of at least 10: 1, or at least 50: 1, or at least 70: 1, or at least 100: 1. . As discussed further below, deposition in a hydrogen environment can reduce the thickness deposited per cycle and reduce the resistivity of the deposited tungsten film.

在操作301之後可以有選擇性的吹洗步驟以清除仍以氣相存在而未吸附於特徵物表面的多餘含鍺還原試劑。吹洗可藉由在固定壓力下將惰性氣體流動所進行,從而減少腔室壓力並在啟動另一氣體暴露以前對腔室重新加壓。 A selective purge step can be performed after operation 301 to remove excess germanium-containing reducing reagent that is still present in the gas phase and is not adsorbed on the surface of the feature. Purge can be performed by flowing an inert gas at a fixed pressure, thereby reducing the pressure in the chamber and repressurizing the chamber before initiating another gas exposure.

接著在操作303中,基板曝露於含鎢前驅體脈衝。含鎢前驅體與所沉積的鍺層反應而形成元素鎢。含鎢前驅體的範例包括六氟化鎢(WF6)、六氯化鎢(WCl6)或六羰鎢(W(CO)6)。某些實施例中,含鎢前驅體為像是六氟化鎢的含鹵素化合物。也可使用有機金屬前驅體與不含氟的前驅體,像是甲基環戊二烯基-二羰亞硝酸-鎢(MDNOW)與乙基環戊二烯基-二羰亞硝酸-鎢(EDNOW)。 Next in operation 303, the substrate is exposed to a tungsten-containing precursor pulse. The tungsten-containing precursor reacts with the deposited germanium layer to form elemental tungsten. Examples of tungsten-containing precursors include tungsten hexafluoride (WF 6 ), tungsten hexachloride (WCl 6 ), or tungsten hexacarbonyl (W (CO) 6 ). In some embodiments, the tungsten-containing precursor is a halogen-containing compound such as tungsten hexafluoride. Organometallic precursors and fluorine-free precursors, such as methylcyclopentadienyl-dicarbonyl nitrite-tungsten (MDNOW) and ethylcyclopentadienyl-dicarbonyl nitrite-tungsten ( EDNOW).

某些實施例中,含鎢氣體前驅體的暴露包括像是氮(N2)、氬(Ar)、氦(He)、氫(H2)或其他惰性氣體的載體氣體 In some embodiments, the exposure of the tungsten-containing gas precursor includes a carrier gas such as nitrogen (N 2 ), argon (Ar), helium (He), hydrogen (H 2 ), or other inert gas.

脈衝時間的範例可在約0.25秒與約30秒之間、約0.25秒至約5秒或約0.5秒至約3秒。脈衝可足以與基板之特徵物表面上、鍺所吸附於表面之所在的反應位置反應。 Examples of the pulse time may be between about 0.25 seconds and about 30 seconds, about 0.25 seconds to about 5 seconds, or about 0.5 seconds to about 3 seconds. The pulse may be sufficient to react with the reaction site on the surface of the feature of the substrate where the germanium is adsorbed.

某些實施例中,操作301與303兩者均可在氫環境中進行。在操作303之後,可能有自選的吹洗步驟以清除以氣相存在的多餘含鎢前驅體。 In some embodiments, both operations 301 and 303 can be performed in a hydrogen environment. After operation 303, there may be an optional purge step to remove excess tungsten-containing precursors that are present in the gas phase.

操作305中,重複操作301與303直至鎢成核層的所需厚度沉積在特徵物表面上。操作301與303的每一次重複可稱為「循環」。某些實施例中,方法300可在不需後續處理的情況下產生低電阻率的鎢成核層。某些實施例中,操作301與303的次序可以顛倒,使得含鎢前驅體先行受到脈衝。 In operation 305, operations 301 and 303 are repeated until a desired thickness of the tungsten nucleation layer is deposited on the feature surface. Each repetition of operations 301 and 303 may be referred to as a "loop." In some embodiments, the method 300 may generate a low-resistivity tungsten nucleation layer without the need for subsequent processing. In some embodiments, the order of operations 301 and 303 may be reversed so that the tungsten-containing precursor is pulsed first.

在諸多實施例中,鎢成核層的沉積將涉及在沒有任何含硼還原試劑、含矽還原試劑或其他還原試劑之脈衝的情況下,以一或更多含鍺化合物的脈衝做為僅有的還原試劑脈衝。然而在某些實施例中,可使用像是含硼或含矽還原試劑脈衝的一或更多額外還原試劑脈衝。這些實施例中,額外的還原試劑可受到依序脈衝或與含鍺還原試劑同步脈衝。在此背景技術中,可注意到雖然氫可做為還原試劑,但吾人不認為其在圖3之進行於氫環境的成核程序中可作為還原試劑。 In many embodiments, the deposition of a tungsten nucleation layer will involve the use of one or more pulses of germanium-containing compounds as the only pulses without any boron-containing reducing agent, silicon-containing reducing agent, or other reducing agent Of reducing reagents. However, in some embodiments, one or more additional reducing reagent pulses, such as a boron- or silicon-containing reducing reagent pulse, can be used. In these embodiments, additional reducing reagents may be pulsed sequentially or simultaneously with the germanium-containing reducing reagent. In this background, it can be noted that although hydrogen can be used as a reducing agent, we do not think it can be used as a reducing agent in the nucleation process performed in a hydrogen environment in FIG. 3.

在各實施例中,每循環的鎢沉積量可至少約為2Å,或在約2Å與約8Å之間,或低於12Å。與習知ALD程序相比,此每循環所沉積的較低鎢厚度使沉積程序得以微調及在特徵物內得以有整體較低的鎢電阻率。沉積具有厚度約在2Å與約8Å間之鎢成核層的能力使得所需的厚度得以計量。鎢成核層可沉積至任何的適當厚度。如上所論述,通常在尚可促進良好本體生成的同時維持盡可能薄的成核層是有所益處的。 In various embodiments, the amount of tungsten deposited per cycle may be at least about 2 Å, or between about 2 Å and about 8 Å, or below 12 Å. Compared with the conventional ALD procedure, the lower tungsten thickness deposited per cycle allows the deposition procedure to be fine-tuned and a lower overall tungsten resistivity within the feature. The ability to deposit a tungsten nucleation layer with a thickness between about 2Å and about 8Å allows the required thickness to be metered. The tungsten nucleation layer can be deposited to any suitable thickness. As discussed above, it is often beneficial to maintain as thin a nucleation layer as possible while still promoting good ontogenesis.

因此,所沉積的鎢成核層可根據特徵物而具有低於約1nm或在約1nm與約20nm間的厚度。各實施例中,鎢成核層的厚度可在約1nm與約10nm間。 Therefore, the deposited tungsten nucleation layer may have a thickness of less than about 1 nm or between about 1 nm and about 20 nm depending on the features. In various embodiments, the thickness of the tungsten nucleation layer may be between about 1 nm and about 10 nm.

進行方法300以後,本體鎢層可沉積在鎢成核層上。作為範例,所沉積的鍺基底鎢成核層與本體鎢層的總厚度可在約1nm與約200nm之間、或在約4nm與約200nm之間、或在約10nm與約50nm之間、或在約1nm與約10nm之間。沉積本體鎢膜的方法可包括CVD、電漿輔助CVD(Plasma Enhanced CVD)與物理氣相沉積(Physical Vapor Deposition,PVD)。各實施例中,使用含鍺還原試劑所沉積之成核層上的鎢晶粒生成可從基板表面上的第一反應點發展至基板表面上之第二反應點的晶粒生成處。這些反應點可以是操作303期間的鎢成核所在。某些實施例中,這些晶粒可從一側壁至另一側壁水平橫跨特徵物寬度地生成。 After the method 300 is performed, a bulk tungsten layer may be deposited on the tungsten nucleation layer. As an example, the total thickness of the deposited germanium substrate tungsten nucleation layer and the bulk tungsten layer may be between about 1 nm and about 200 nm, or between about 4 nm and about 200 nm, or between about 10 nm and about 50 nm, or Between about 1 nm and about 10 nm. The method for depositing the bulk tungsten film may include CVD, Plasma Enhanced CVD, and Physical Vapor Deposition (PVD). In various embodiments, tungsten crystal grain generation on a nucleation layer deposited using a germanium-containing reducing reagent can progress from a first reaction point on the substrate surface to a crystal grain generation point at a second reaction point on the substrate surface. These reaction points may be where tungsten nucleation occurs during operation 303. In some embodiments, the grains can be generated horizontally across the feature width from one sidewall to the other.

在未受任何特定理論約束的情況下,吾人相信含鍺還原試劑的使用導致了金屬性之微晶粒α-鎢(α-W)的形成而非β-鎢(β-W)。如上所論述的,α-鎢是元素鎢的穩定結晶結構,並具有比亞穩態結構之β-鎢更低的電阻率。使用含鍺還原試劑的成核層形成有助於本體沉積期間的α-鎢生成,從而在整體上降低電阻率。α-鎢對β-鎢的存量由x射線繞射分析或其他合適的方法所量測。因此可進行方法300使得鎢成核層與後續沉積在特徵物的本體鎢至少為90%的α-鎢或至少為99%的α-鎢。 Without being bound by any particular theory, I believe that the use of germanium-containing reducing reagents has led to the formation of metallic microcrystalline α-tungsten (α-W) rather than β-tungsten (β-W). As discussed above, α-tungsten is a stable crystalline structure of elemental tungsten, and β-tungsten with a sub-steady state structure has a lower resistivity. The formation of a nucleation layer using a germanium-containing reducing agent facilitates the production of α-tungsten during bulk deposition, thereby reducing resistivity as a whole. The amount of α-tungsten versus β-tungsten is measured by x-ray diffraction analysis or other suitable methods. Therefore, the method 300 can be performed so that the tungsten nucleation layer and the bulk tungsten deposited subsequently on the features are at least 90% α-tungsten or at least 99% α-tungsten.

所沉積之鎢成核層的範例顯示在圖4A中。圖4A為具20nm寬之特徵物內所沉積之鎢層的穿透式電子顯微鏡(TEM)影像。所沉積之鎢的階梯覆蓋率在底部約為85%、在側壁上約為70%而在特徵物的開口處則約為82%。這顯示出使用含鍺還原試劑所沉積之成核層的良好階梯覆蓋率。所沉積之成核層具有約在20Å與約30Å之間的厚度。圖4B顯示在使用鍺烷所沉積之成核層上有鎢本體層 沉積的特徵物。如圖所示,沉積的鎢本體層具有絕佳的大型晶粒填充,且成核層本身極薄並在視覺上難以與鎢本體層分辨。這代表了較少的晶粒介面以及因而較低的電阻。 An example of the deposited tungsten nucleation layer is shown in Figure 4A. FIG. 4A is a transmission electron microscope (TEM) image of a tungsten layer deposited within a feature having a width of 20 nm. The step coverage of the deposited tungsten is about 85% at the bottom, about 70% on the side walls, and about 82% at the feature openings. This shows a good step coverage of the nucleation layer deposited using a germanium-containing reducing agent. The deposited nucleation layer has a thickness between about 20 Å and about 30 Å. Figure 4B shows a tungsten bulk layer on a nucleation layer deposited using germane Deposited features. As shown, the deposited tungsten bulk layer has excellent large grain filling, and the nucleation layer itself is extremely thin and difficult to visually distinguish from the tungsten bulk layer. This represents less grain interface and therefore lower resistance.

又,TEM影像顯示出在特徵物內沿著所沉積之鎢膜的中間往下並不存在有接縫。這可能是因為晶粒係從特徵物的一側壁發展至另一側壁所致。或者,鎢的生成可能係從鎢在特徵物表面上成核的一點擴展至鎢在表面上成核的另一點。 In addition, the TEM image shows that there are no seams in the feature down the middle of the deposited tungsten film. This may be due to the development of the grain system from one side wall to another side wall of the feature. Alternatively, tungsten generation may extend from one point where tungsten nucleates on the surface of the feature to another point where tungsten nucleates on the surface.

圖5是將鎢填充至基板特徵物之方法500的程序流程圖。作為一範例,基板可以是具有特徵物的基板,像是具有至少為6:1、或至少為10:1、或至少為20:1之深寬比的特徵物。某些實施例中,可在約200℃與約400℃之間的溫度進行方法500。某些實施例中,可在約1Torr與約300Torr之間的壓力進行方法500。在這些範圍以外的溫度和壓力可根據特定的實施方法而使用。 FIG. 5 is a flowchart of a method 500 for filling tungsten into a substrate feature. As an example, the substrate may be a substrate having features, such as a feature having an aspect ratio of at least 6: 1, or at least 10: 1, or at least 20: 1. In some embodiments, the method 500 may be performed at a temperature between about 200 ° C and about 400 ° C. In some embodiments, method 500 may be performed at a pressure between about 1 Torr and about 300 Torr. Temperatures and pressures outside these ranges can be used according to specific implementation methods.

操作501中,保形成核層沉積在特徵物中。這可在特徵物的任何填充之前沉積。這可藉由沉積成核層的任何適當方法進行,像是ALD、PNL、電漿輔助ALD(PEALD)或CVD。許多實施例中,用於沉積成核層的還原試劑為含鍺還原試劑。其他實施例中,用於沉積成核層的還原試劑可包括含硼還原試劑(包括二硼烷(B2H6)與其他硼烷)、含矽還原試劑(包括矽烷(SiH4)與其他矽烷)、聯氨與鍺烷。某些實施例中,沉積成核層的方法可為以上參照圖3所說明的方法。 In operation 501, a nucleation layer is deposited on a feature. This can be deposited before any filling of the features. This can be done by any suitable method of depositing a nucleation layer, such as ALD, PNL, plasma-assisted ALD (PEALD) or CVD. In many embodiments, the reducing agent used to deposit the nucleation layer is a germanium-containing reducing agent. In other embodiments, the reducing agent for depositing the nucleation layer may include a boron-containing reducing agent (including diborane (B 2 H 6 ) and other borane), a silicon-containing reducing agent (including silane (SiH4) and other silane ), Hydrazine and germane. In some embodiments, the method for depositing the nucleation layer may be the method described above with reference to FIG. 3.

接著在操作503中,成核層藉由將其暴露於含鍺還原試劑的脈衝而受到處理。含鍺還原試劑的範例包括鍺烷(GeH4)與二鍺烷(Ge2H6),還有以上參照圖3之操作301所說明中的任一者。 Then in operation 503, the nucleation layer is treated by exposing it to a pulse of a germanium-containing reducing agent. Examples of germanium-containing reducing reagents include germane (GeH 4 ) and digermane (Ge 2 H 6 ), as well as any of those described above with reference to operation 301 of FIG. 3.

在各實施例中,操作503可以在沒有任何其他前驅體的任何穿插脈衝下進行。含鍺還原試劑的範例脈衝時間可在約0.25秒與約20秒之間、或在0.25秒與5秒之間。 In various embodiments, operation 503 may be performed without any intervening pulses of any other precursors. Exemplary pulse times for germanium-containing reducing reagents can be between about 0.25 seconds and about 20 seconds, or between 0.25 seconds and 5 seconds.

不具有穿插脈衝的脈衝方法範例可由圖6A的概要說明呈現。此圖顯示隨著時間使用鍺烷(GeH4)脈衝的方法範例。如圖示,鍺烷之每一脈衝氣體流以時間區間分隔。在脈衝之間的範例間歇時間區間在約0.5秒與約5秒之間。 An example of a pulse method without intervening pulses can be presented by the summary description of FIG. 6A. This figure shows an example of a method using germane (GeH 4 ) pulses over time. As shown, each pulsed gas flow of germane is separated by time intervals. An exemplary intermittent time interval between pulses is between about 0.5 seconds and about 5 seconds.

回頭參照圖5,操作503的某些實施例中,處理可使用含鎢前驅體的穿插脈衝進行。含鎢前驅體的範例包括六氟化鎢(WF6)與六氯化鎢(WCl6),還有以上參照圖3之操作303所說明中的任何一者。 Referring back to FIG. 5, in some embodiments of operation 503, the processing may be performed using a puncture pulse of a tungsten-containing precursor. Examples of tungsten-containing precursors include tungsten hexafluoride (WF 6 ) and tungsten hexachloride (WCl 6 ), as well as any of those described above with reference to operation 303 of FIG. 3.

使用穿插脈衝的脈衝方法範例由圖6B中的概要說明所代表。此圖顯示使用鍺烷(GeH4)及六氟化鎢(WF6)的方法範例,每一脈衝都由一時間區間所分隔。 An example of a pulse method using interspersed pulses is represented by the outline description in FIG. 6B. This figure shows an example of a method using germane (GeH 4 ) and tungsten hexafluoride (WF 6 ). Each pulse is separated by a time interval.

某些實施例中,在穿插的含鎢前驅體脈衝中,操作503期間的受脈衝含鎢前驅體總量可少於在操作501中受脈衝的含鎢前驅體。某些實施例中,可能沒有鎢會實質上在使用了穿插含鎢前驅體脈衝的操作503期間沉積(例如沉積少於一單屬層)。例如,含鎢前驅體的脈衝可能持續極短,使得在操作503期間並未有鎢吸附到鎢成核層的表面上。若將含鍺還原試劑用於沉積成核層,那麼在對其處理以前(如圖6B所說明),從成核層沉積期間之含鍺還原試劑/含鎢前驅體的循環過渡到含鍺還原試劑/含鎢前驅體的循環可涉及藉由縮短脈衝時間及/或降低流速以減少在一次脈衝期間中所引入之含鎢前驅體的總量。在各實施例中,操作503可在氫環境或不含氫的環境中進行。 In some embodiments, in the interspersed tungsten-containing precursor pulses, the total amount of pulsed tungsten-containing precursors during operation 503 may be less than the tungsten-containing precursors pulsed in operation 501. In some embodiments, no tungsten may be deposited substantially during operation 503 using a tungsten-containing precursor pulse (eg, less than a single metal layer is deposited). For example, the pulse of the tungsten-containing precursor may last extremely short so that no tungsten is adsorbed on the surface of the tungsten nucleation layer during operation 503. If a germanium-containing reducing agent is used to deposit the nucleation layer, the transition from the cycle of the germanium-containing reducing agent / tungsten-containing precursor during the deposition of the nucleation layer to the germanium-containing reduction before processing it (as illustrated in Figure 6B) Recycling of the reagent / tungsten-containing precursor may involve reducing the total amount of tungsten-containing precursor introduced during a pulse by reducing the pulse time and / or reducing the flow rate. In various embodiments, operation 503 may be performed in a hydrogen environment or a hydrogen-free environment.

回頭參照圖5,成核層受到處理之後,於操作505中在鎢成核層上沉積本體鎢膜。沉積本體鎢膜的方法可包括CVD、PECVD與PVD。 Referring back to FIG. 5, after the nucleation layer is processed, a bulk tungsten film is deposited on the tungsten nucleation layer in operation 505. Methods for depositing a bulk tungsten film may include CVD, PECVD, and PVD.

本體層的CVD沉積涉及將含鎢前驅體與像是還原試劑(若然合適)的共同反應物流入沉積腔室。惰性載體氣體可用於輸送已預先混合或尚未預先混合之反應物流的一或更多者。不若PNL或ALD程序,此操作通常涉及將反應物持續流動直至所需的沉積量。某些實施例中,因為有以一或更多轉換的反應物流所分隔之連續且同步的多個反應物流週期,故CVD操作發生於多個階段中。流動也可受到脈衝時間約在1秒與約2秒之間的脈衝。在CVD沉積期間的腔室壓力範例範圍可從約10Torr至約500Torr。 CVD deposition of a bulk layer involves flowing a common reaction of a tungsten-containing precursor and a reducing agent, if appropriate, into a deposition chamber. An inert carrier gas can be used to deliver one or more of the reactant streams that have been premixed or have not been premixed. Unlike PNL or ALD procedures, this operation usually involves continuous flow of the reactants to the required deposition amount. In some embodiments, the CVD operation occurs in multiple stages because there are multiple consecutive and synchronized reaction stream cycles separated by one or more converted reaction streams. Flow can also be pulsed with a pulse time between about 1 second and about 2 seconds. Exemplary chamber pressures during CVD deposition can range from about 10 Torr to about 500 Torr.

CVD反應期間的範例基板溫度可低至250℃且可高至495℃。包括但不限於WF6、鎢氯化物(WCl6)以及六羰鎢W(CO)6的各種含鎢氣體可做為含鎢前驅體。某些實施例中,含鎢前驅體係像是WF6的含鹵素化合物。雖然可使用其他的還原試劑,但某些實施例中的還原試劑為氫氣,包括矽烷(SiH4)、二矽烷(Si2H6)、聯氨(N2H4)、二硼烷(B2H6)及鍺烷(GeH4)。某些實施例中,CVD可實施於像是低溫階段與高溫階段的多種階段中。再進一步地,氮可在本體層的CVD沉積期間受到脈衝,如同在美國專利第8551885號與美國專利公開案第13/633798號中所述,此二案均在此併入作為參考文獻。 Example substrate temperatures during the CVD reaction can be as low as 250 ° C and as high as 495 ° C. Various tungsten-containing gases including, but not limited to, WF 6 , tungsten chloride (WCl 6 ), and tungsten hexacarbonyl W (CO) 6 can be used as the tungsten-containing precursor. In some embodiments, the tungsten-containing precursor system is like a halogen-containing compound of WF 6 . Although other reducing agents can be used, the reducing agent in some embodiments is hydrogen, including silane (SiH 4 ), disila (Si 2 H 6 ), hydrazine (N 2 H 4 ), and diborane (B 2 H 6 ) and germane (GeH 4 ). In some embodiments, CVD may be performed in various stages, such as a low temperature stage and a high temperature stage. Still further, nitrogen may be pulsed during CVD deposition of the bulk layer, as described in US Patent No. 8551885 and US Patent Publication No. 13/633798, both of which are incorporated herein by reference.

按照某些實施例,圖6C呈現說明提供填充之方法中的操作程序流程圖。此程序始於設置具有形成於其內之高深寬比特徵物的基板(602)。鍺基底的鎢成核層接著沉積在上述的特徵物上,並通常保形地塗佈特徵物的側壁與底部(604)。成核層的厚度範例範圍從少於1nm至約20nm。 According to some embodiments, FIG. 6C presents a flow chart illustrating an operating procedure in a method of providing padding. This process begins by setting a substrate (602) with high aspect ratio features formed therein. A germanium-based tungsten nucleation layer is then deposited on the features described above and typically conformally coats the sidewalls and bottom of the features (604). Examples of the thickness of the nucleation layer range from less than 1 nm to about 20 nm.

某些實施例中,沉積成核層之後為用以改善電阻率的沉積後處理操作。此處理操作係在以上參照圖6A與6B中,以及在美國專利公開案第2009/0149022號與美國專利公開案第12/407541號中,此二案均在此併入做為參考文獻。某些實施例中,操作604與沉積後處理操作可以是不含硼的。 In some embodiments, the nucleation layer is deposited after a post-deposition processing operation to improve resistivity. This processing operation is referred to above with reference to FIGS. 6A and 6B, and in US Patent Publication No. 2009/0149022 and US Patent Publication No. 12/407541, both of which are incorporated herein by reference. In some embodiments, operation 604 and post-deposition processing operations may be boron-free.

一旦成核層形成,程序按照「以低溫CVD的鎢膜填充特徵物」繼續(606)。此操作中,還原試劑與含鎢前驅體流入沉積腔室,以在特徵物內沉積本體填充層。惰性載體氣體可用於輸送已經預先混合或未預先混合之反應物流的一或更多者。不若PNL或ALD程序,此操作通常涉及將反應物持續流動直到所需的沉積量。某些實施例中,因為有以一或更多轉換的反應物流所分隔之連續且同步的多個反應物流週期,故CVD操作發生於多個階段中。 Once the nucleation layer is formed, the process continues in accordance with "filling features with tungsten film at low temperature CVD" (606). In this operation, the reducing reagent and the tungsten-containing precursor flow into the deposition chamber to deposit a bulk filling layer in the feature. An inert carrier gas can be used to transport one or more of the reactant streams that have been premixed or not. Unlike PNL or ALD procedures, this operation usually involves continuing the flow of the reactants to the required deposition amount. In some embodiments, the CVD operation occurs in multiple stages because there are multiple consecutive and synchronized reaction stream cycles separated by one or more converted reaction streams.

與習知之較高溫CVD所需的成核層厚度相比,用於填充特徵物的較低溫CVD操作可與較薄的成核層一起使用。在未以特定理論約束的情況下,吾人相信這可能是因為在較低溫度下的較遲緩化學性質改善了在即使尚未發展完全之成核點上的生成。按照各實施例,可形成約在10Å與約200Å之間的成核層;在某些實施例中,成核層可具有低於10Å的厚度。 Compared to the thickness of the nucleation layer required for conventional higher temperature CVD, the lower temperature CVD operation for filling features can be used with a thinner nucleation layer. Without being bound by a particular theory, I believe this may be because the slower chemistry at lower temperatures improves the formation of nucleation sites even if they have not yet developed fully. According to various embodiments, a nucleation layer between about 10 Å and about 200 Å may be formed; in some embodiments, the nucleation layer may have a thickness below 10 Å.

包括但不限於WF6、WCl6以及(W(CO)6的各種含鎢氣體可做為含鎢前驅體。某些實施例中,含鎢前驅體為像是WF6的含鹵素化合物。雖然可使用其他的還原試劑,但在某些實施例中的還原試劑為氫氣,包括矽烷(SiH4)、二矽烷(Si2H6)、聯氨(N2H4)、二硼烷(B2H6)與鍺烷(GeH4)。在諸多實施例中,氫氣可在CVD程序中做為還原試劑。 Various tungsten-containing gases including but not limited to WF 6 , WCl 6 and (W (CO) 6 can be used as tungsten-containing precursors. In some embodiments, the tungsten-containing precursors are halogen-containing compounds like WF 6. Although Other reducing reagents can be used, but in some embodiments the reducing reagent is hydrogen, including silane (SiH 4 ), disilane (Si 2 H 6 ), hydrazine (N 2 H 4 ), diborane (B 2 H 6 ) and germane (GeH 4 ). In many embodiments, hydrogen can be used as a reducing agent in the CVD process.

在偏低溫度下進行特徵物的CVD填充。根據各實施例,偏低溫度(程序及/或基板溫度)係在以下範圍其中之一:約250℃-350℃之間、約 250℃-340℃之間、約250℃-360℃之間、約250℃-325℃之間、約250℃-320℃之間、約250℃-315℃之間、約250℃-310℃之間、約250℃-305℃之間或約250℃-300℃之間。又,根據各實施例,程序及/或基板溫度係在:約260℃-310℃之間、約270℃-310℃之間、約280℃-310℃之間或約290℃-310℃之間。某些實施例中,程序及/或基板溫度係約300℃。 CVD filling of features is performed at a relatively low temperature. According to various embodiments, the low temperature (program and / or substrate temperature) is in one of the following ranges: between about 250 ° C and 350 ° C, about Between 250 ° C and 340 ° C, between approximately 250 ° C and 360 ° C, between approximately 250 ° C and 325 ° C, between approximately 250 ° C and 320 ° C, between approximately 250 ° C and 315 ° C, and between approximately 250 ° C and 310 ° C Between about 250 ° C and 305 ° C, or between about 250 ° C and 300 ° C. Furthermore, according to various embodiments, the program and / or substrate temperature is between about 260 ° C and 310 ° C, between about 270 ° C and 310 ° C, between about 280 ° C and 310 ° C, or between about 290 ° C and 310 ° C. between. In some embodiments, the process and / or substrate temperature is about 300 ° C.

應當注意在某些實施例中,鍺基底成核層可有利於低溫CVD填充。這是因為,在硼基底成核層上的低溫CVD填充可在某些受關注的厚度展現出隨著厚度增加而增加的電阻率,如同以上參照圖2A與2B所說明的。 It should be noted that in some embodiments, a germanium substrate nucleation layer may facilitate low temperature CVD filling. This is because a low temperature CVD fill on a boron substrate nucleation layer may exhibit an increased resistivity with increasing thickness at certain thicknesses of interest, as explained above with reference to FIGS. 2A and 2B.

在填充特徵物之後,可增加溫度以沉積高溫CVD層(608)。此高溫可以是以下範圍其中之一:約350℃-450℃之間、約360℃-450℃之間、約370℃-450℃之間、約380℃-455℃之間、約390℃-450℃之間或約400℃-450℃之間。在某些實施例中係以約395℃進行高溫CVD。提高溫度可包括提高基板溫度。根據各實施例,溫度係增加了至少約50℃、至少約60℃、至少約70℃、至少約80℃、至少約90℃、至少約100℃或至少約110℃。接著沉積高溫CVD層(610)。某些實施例中並不進行操作608與610;也就是說,在完成低溫CVD程序以及填充特徵物之後,基板繼續前進以利像是平坦化的進一步處理。 After filling the features, the temperature may be increased to deposit a high temperature CVD layer (608). This high temperature can be one of the following ranges: between about 350 ° C and 450 ° C, between about 360 ° C and 450 ° C, between about 370 ° C and 450 ° C, between about 380 ° C and 455 ° C, and about 390 ° C- Between 450 ° C or about 400 ° C-450 ° C. In some embodiments, high temperature CVD is performed at about 395 ° C. Increasing the temperature may include increasing the temperature of the substrate. According to various embodiments, the temperature is increased by at least about 50 ° C, at least about 60 ° C, at least about 70 ° C, at least about 80 ° C, at least about 90 ° C, at least about 100 ° C, or at least about 110 ° C. A high temperature CVD layer is then deposited (610). In some embodiments, operations 608 and 610 are not performed; that is, after the low-temperature CVD process is completed and the features are filled, the substrate proceeds to facilitate further processing like planarization.

某些實施例中,從操作606過渡到操作608涉及在多站點腔室中將基板從一沉積站點移動至另一站點。又更進一步地,操作604、沉積後的電阻率處理(若有進行)、操作606與操作608中的每一者係在同一多站點腔室中的不同站點所進行。 In some embodiments, the transition from operation 606 to operation 608 involves moving a substrate from one deposition site to another site in a multi-site chamber. Still further, each of operation 604, resistivity processing after deposition (if performed), operation 606, and operation 608 are performed at different sites in the same multi-site chamber.

在使用單一站點以進行操作606與608的替代實施例中,從操作606過渡到操作608涉及在提高基板溫度的同時,關閉鎢前驅體流(可選擇性地允 許氫或其他還原氣體及/或載體氣體運轉)。一旦基板溫度穩定,鎢前驅體和其他氣體(若有需要)即流入反應腔室中以供高溫沉積之用。其他實施例中,始於操作606的過渡可涉及容許沉積在過渡時期期間繼續的同時,提高基板溫度。在沉積高溫之鎢CVD膜的實施例中,這可能在已填充的特徵物上沉積過載層。 In an alternative embodiment using a single station for operations 606 and 608, the transition from operation 606 to operation 608 involves turning off the tungsten precursor flow while selectively increasing the substrate temperature (optionally allowing Allow hydrogen or other reducing gas and / or carrier gas to operate). Once the substrate temperature has stabilized, tungsten precursors and other gases (if needed) flow into the reaction chamber for high-temperature deposition. In other embodiments, the transition starting with operation 606 may involve increasing the substrate temperature while allowing deposition to continue during the transition period. In embodiments where a high temperature tungsten CVD film is deposited, this may deposit an overload layer on the filled features.

圖6D說明在填充程序之不同階段的特徵物剖面範例概要示圖,其中在該填充程序中係在使用較低溫的CVD填充特徵物650之後沉積高溫的CVD層。剖面651代表在任何鎢沉積之前的特徵物650範例。此範例中,特徵物650係形成在介電層680內、在基板的頂表面655具有開口675並包括像是TiN層的襯墊層653。 FIG. 6D illustrates an exemplary schematic diagram of a feature profile at different stages of a filling procedure, in which a high-temperature CVD layer is deposited after filling the feature 650 with a lower-temperature CVD. Section 651 represents an example of feature 650 before any tungsten deposition. In this example, the feature 650 is formed in the dielectric layer 680, has an opening 675 in the top surface 655 of the substrate, and includes a pad layer 653 like a TiN layer.

設備 device

可使用任何適當的腔室以實施所揭露的實施例。範例的沉積設備包括多種系統,例如可購自Lam Research Corp,Fremont,California的ALTUS® and ALTUS® Max,或各種其他商業上可購得之CVD設備的任一者。某些實施例中,在第一站點進行脈衝成核程序,其中該第一站點為位於單一沉積腔室之內的二、五或更多沉積站點的其中一者。因此在第一站點,使用在基板表面產生局部大氣的個別氣體供應系統將還原氣體與含鎢氣體交替引至半導體基板的表面。另一站點可用於上述的處理操作。接著可使用一或更多站點以進行上述的CVD。二或更多站點可用於平行處理地進行CVD。另一可選地,可將晶圓編號使得CVD操作在超過二或更多的腔室中依次進行。 Any suitable chamber may be used to implement the disclosed embodiments. Exemplary deposition equipment includes a variety of systems, such as ALTUS® and ALTUS® Max, commercially available from Lam Research Corp, Fremont, California, or any of a variety of other commercially available CVD equipment. In some embodiments, the pulse nucleation process is performed at a first site, where the first site is one of two, five, or more deposition sites located within a single deposition chamber. Therefore, at the first station, an individual gas supply system that generates a local atmosphere on the substrate surface is used to alternately introduce reducing gas and tungsten-containing gas to the surface of the semiconductor substrate. Another station can be used for the processing operations described above. One or more stations can then be used to perform the CVD described above. Two or more stations are available for CVD in parallel processing. Alternatively, the wafers can be numbered such that CVD operations are performed sequentially in more than two or more chambers.

根據實施例,圖7是適於進行鎢薄膜沉積程序的處理系統方塊圖。系統700包括傳輸模組703。傳輸模組703提供乾淨、加壓的環境以在基板於 各反應模組之間移動的時候,將受處理之基板的汙染風險降至最低。安裝在傳輸模組上者係能夠按照實施例而進行PNL沉積、多脈衝處理(若需要)以及CVD的多站點反應器709。腔室709可包括能依序進行這些操作的多站點711、713、715與717。例如,可設置腔室709使得站點711進行鍺烷基底的PNL沉積、站點713進行多脈衝處理而站點715與717可進行CVD。站點可包括加熱台座或基板支架、一或更多氣體流入口或噴淋頭或分配盤。 According to an embodiment, FIG. 7 is a block diagram of a processing system suitable for performing a tungsten thin film deposition process. The system 700 includes a transmission module 703. The transmission module 703 provides a clean, pressurized environment When moving between the reaction modules, the risk of contamination of the processed substrate is minimized. The transmission module is a multi-site reactor 709 capable of performing PNL deposition, multi-pulse processing (if necessary), and CVD according to the embodiment. The chamber 709 may include multi-sites 711, 713, 715, and 717 capable of performing these operations in sequence. For example, a chamber 709 can be provided so that the station 711 performs germanium-based PNL deposition, the station 713 performs multi-pulse processing, and the stations 715 and 717 can perform CVD. The station may include a heating pedestal or substrate support, one or more gas inlets or sprinklers or distribution plates.

亦安裝在傳輸模組703上的,可以是能夠進行電漿或化學(非電漿)前潔淨的一或更多單一或多站點模組707。此模組也可用於像是鍺烷處理的各種其他處理。系統700也包括在處理前後於該處儲存晶圓的一或更多晶圓來源模組701。在大氣傳輸腔室719的大氣機器人(圖未示)首先將晶圓自來源模組701中調動至負載閘721。在傳輸模組703的晶圓傳輸裝置(通常是機器手臂單元)將晶圓從負載閘721移動至安裝於傳輸模組703上的模組以及該等模組之中。 Also installed on the transmission module 703 may be one or more single- or multi-site modules 707 that can be cleaned before plasma or chemical (non-plasma). This module can also be used for various other processes such as germane processing. The system 700 also includes one or more wafer source modules 701 where wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 719 first transfers the wafer from the source module 701 to the load gate 721. The wafer transfer device (usually a robot arm unit) at the transfer module 703 moves the wafer from the load gate 721 to the modules mounted on the transfer module 703 and the modules.

各實施例中,系統控制器750係用以在程序期間控制程序條件。控制器750通常將包括一或更多記憶體元件與一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板等等。 In various embodiments, the system controller 750 is used to control program conditions during a program. The controller 750 will typically include one or more memory elements and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output links, a stepper motor controller board, and the like.

控制器750可控制沉積設備的全部作業。系統控制器750執行系統控制軟體,包括用於控制時間點、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓夾具或台座位置、及特定程序之其他參數的指令組。儲存於與控制器750有關之記憶體元件的其他電腦程式可用於同一實施例中 The controller 750 may control the entire operation of the deposition equipment. The system controller 750 executes system control software including control points in time, gas mixture, chamber pressure, chamber temperature, wafer temperature, radio frequency (RF) power level, wafer fixture or pedestal position, and specific procedures Instruction group for other parameters. Other computer programs stored in the memory elements associated with the controller 750 can be used in the same embodiment

通常有與控制器750相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或程序條件的圖像軟體顯示器、以及像是指標裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入裝置。 There is usually a user interface associated with the controller 750. The user interface may include graphical software displays that display screens, equipment and / or program conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, and so on.

系統控制邏輯可用任何合適的方式所設置。大體而言,邏輯可設計或設置於硬體及/或軟體中。用於控制驅動電路的指令可以是硬編碼的或以軟體提供。指令集可經由「程式化」設置。應了解到,此等「程式化」包括任何形式的邏輯,這包括在數位信號處理器、特定應用積體電路以及將特定演算法實施為硬體之其他元件中的硬編碼邏輯。也應將此等「程式化」理解為包括可在通用目的之處理器上執行的軟體或韌體指令。系統控制軟體可用任何適當的電腦可讀程式語言而加以編碼。 The system control logic can be set in any suitable way. In general, logic can be designed or implemented in hardware and / or software. The instructions for controlling the driving circuit may be hard-coded or provided in software. The instruction set can be set by "programming". It should be understood that such "programming" includes any form of logic, including hard-coded logic in digital signal processors, application-specific integrated circuits, and other components that implement specific algorithms as hardware. Such "programming" should also be understood to include software or firmware instructions that can be executed on a general purpose processor. System control software can be coded in any suitable computer-readable programming language.

用於控制程序序列之含鍺還原試劑脈衝、氫流動與含鎢前驅體脈衝,及其他程序的電腦程式碼可以用任何習知的電腦可讀程式語言所撰寫:例如組合語言、C、C++、Pascal、Fortran或其他。編譯過的物件碼或腳本由處理器執行以進行在程式內所界定的任務。又如同所指出的,程式碼可以是硬編碼的。 The computer program code for controlling the sequence of germanium-containing reducing reagent pulses, hydrogen flow and tungsten-containing precursor pulses, and other programs can be written in any conventional computer-readable programming language: for example, combinatorial languages, C, C ++, Pascal, Fortran or others. The compiled object code or script is executed by the processor to perform tasks defined in the program. As noted, the code may be hard-coded.

控制器參數係關於程序條件,例如程序氣體組成與流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數可以用配方的形式提供給使用者,並且可利用使用者介面輸入。 Controller parameters are related to program conditions, such as program gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters can be provided to the user in the form of a recipe and can be entered using the user interface.

用於監測程序的信號可由系統控制器750的類比及/或數位輸入連結所提供。用於控制程序的信號在沉積設備700的類比及數位輸出連結上輸出。 Signals for monitoring the program can be provided by the analog and / or digital input link of the system controller 750. The signals for the control program are output on the analog and digital output connections of the deposition apparatus 700.

系統軟體可用諸多不同的方式所設計或設置。例如可撰寫各種腔室的零部件子程序或控制物件,以控制要完成按照所揭露之實施例的沉積程序所需的腔室零部件操作。用於此目的的程式或程式之部分的範例包括基板放置碼、程序氣體控制碼、壓力控制碼以及加熱器控制碼。 The system software can be designed or configured in many different ways. For example, component subroutines or control objects of various chambers can be written to control the operation of the chamber components required to complete the deposition procedure according to the disclosed embodiment. Examples of programs or portions of programs for this purpose include substrate placement codes, program gas control codes, pressure control codes, and heater control codes.

基板放置程式可包括用於控制腔室零部件的程式碼,腔室零部件係用於將基板裝載至台座或夾具上並控制基板與其他腔室部件(像是氣體流入口及/或標靶)之間的間隔。程序氣體控制程式可包括用於控制氣體的組成與流速、以及選擇性地在沉積之前用於將氣體流入腔室以穩定腔室內之壓力的編碼。壓力控制程式可包括藉由調節例如腔室之排氣系統的節流閥以控制腔室內之壓力的編碼。加熱控制程式可包括控制流向加熱單元(用於加熱基板)之電流的編碼。另一可選地,加熱器控制程式可控制熱傳遞氣體(像是氦)輸送到晶圓夾具。 The substrate placement program may include code for controlling chamber components. The chamber components are used to load the substrate on a pedestal or fixture and control the substrate and other chamber components (such as gas inlets and / or targets). ). The program gas control program may include a code for controlling the composition and flow rate of the gas, and optionally for introducing the gas into the chamber to stabilize the pressure in the chamber prior to deposition. The pressure control program may include a code to control the pressure in the chamber by adjusting a throttle valve of the exhaust system of the chamber, for example. The heating control program may include a code that controls the current flowing to the heating unit (for heating the substrate). Alternatively, the heater control program can control the transfer of a heat transfer gas (such as helium) to the wafer holder.

在沉積期間可受到監測的腔室感應器範例包括質量流控制器、像是壓力計的壓力感測器、以及位於台座或夾具的熱電耦。適當程式化的反饋與控制演算法可與來自感測器的數據一起使用以維持所需的程序條件。 Examples of chamber sensors that can be monitored during deposition include mass flow controllers, pressure sensors like pressure gauges, and thermocouples on a pedestal or fixture. Properly programmed feedback and control algorithms can be used with the data from the sensors to maintain the required procedural conditions.

上述說明了在單一或多腔室之半導體處理設備中所揭露之實施例的實施方式。此處所說明的設備及程序可與微影的圖案化設備或程序一起使用以供例如半導體元件、顯示器、LED、太陽能面板及其類似物的製造或量產所用。儘管並非必須,此等設備/程序通常將在常見的製造設施中一起使用或進行。膜層的微影圖案化通常包括部分或全部的以下步驟,每一步驟可由諸多可行的設備所提供:(1)使用旋轉或噴灑設備在工件(亦即基板)上塗佈光阻;(2)使用加熱板或爐管或紫外光固化設備固化光阻;(3)使用像是晶圓步進器的設備將光阻對可見光或UV光或X射線曝光;(4)使用像是濕式清洗台的設備將光阻顯影以利選擇性地移除光阻並從而將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻方法以將光阻圖案轉印至下方膜層或工件;以及(6)使用像是RF或微波電漿光阻剝除劑的方法移除光阻。 The foregoing describes implementations of the embodiments disclosed in a single or multi-chamber semiconductor processing apparatus. The devices and programs described herein can be used with lithographic patterning devices or programs for use in, for example, the manufacture or mass production of semiconductor elements, displays, LEDs, solar panels, and the like. Although not required, such equipment / procedures will typically be used or performed together in common manufacturing facilities. The lithographic patterning of the film layer usually includes some or all of the following steps, each of which can be provided by a number of feasible equipment: (1) using a rotating or spraying device to apply photoresist on the workpiece (ie, the substrate); ) Use a hot plate or furnace tube or UV curing device to cure the photoresist; (3) Use equipment such as a wafer stepper to expose the photoresist to visible light or UV light or X-rays; (4) Use a wet type The equipment of the cleaning station develops the photoresist to selectively remove the photoresist and thereby pattern it; (5) transferring the photoresist pattern to the underlying film layer or workpiece by using a dry or plasma-assisted etching method ; And (6) use a method such as RF or microwave plasma photoresist stripper to remove the photoresist.

實驗 experiment

實驗1:厚度 Experiment 1: Thickness

進行實驗以顯示在鎢成核層沉積期間使用含鍺還原試劑在成核層生成率及成核層厚度上的影響。這些實驗在300℃下進行。 Experiments were performed to show the effect of using a germanium-containing reducing agent on the nucleation layer formation rate and nucleation layer thickness during the deposition of tungsten nucleation layer. These experiments were performed at 300 ° C.

第一系列的實驗涉及藉由(1)在沒有氫的存在下,將基板暴露於鍺烷(GeH4)、(2)將基板暴露於六氟化鎢(WF6)、以及(3)重複步驟(1)與(2)以用於多個成核循環而處理基板。鍺烷以250sccm的流速與具有1000sccm之流速的載體氣體(氬)一起流動。六氟化鎢以270sccm的流速流動。所沉積之鎢成核層的厚度在各成核循環時量測。此等結果顯示於圖8並且由圓點所代表。 The first series of experiments involved repeating (1) exposing the substrate to germane (GeH 4 ) in the absence of hydrogen, (2) exposing the substrate to tungsten hexafluoride (WF 6 ), and (3) repeated Steps (1) and (2) are used to process the substrate for multiple nucleation cycles. Germane flows at a flow rate of 250 seem with a carrier gas (argon) having a flow rate of 1000 seem. Tungsten hexafluoride flows at a flow rate of 270 sccm. The thickness of the deposited tungsten nucleation layer is measured at each nucleation cycle. These results are shown in Figure 8 and are represented by dots.

第二系列的實驗涉及藉由(1)在氫環境中將基板暴露於鍺烷(GeH4)、(2)將基板暴露於六氟化鎢(WF6)、以及(3)重複步驟(1)與(2)以用於多個成核循環而處理基板。鍺烷以250sccm的流速與具有1000sccm之流速的載體氣體(氬)一起流動。氫氣在整個鍺烷脈衝與六氟化鎢脈衝兩者期間以19000sccm的流速流動。六氟化鎢以270sccm的流速流動。所沉積之鎢成核層的厚度在各成核循環時量測。此等結果在圖8以方點所代表。 The second series of experiments involved repeating step (1) by exposing the substrate to germane (GeH 4 ) in a hydrogen environment, (2) exposing the substrate to tungsten hexafluoride (WF 6 ), and (3) ) And (2) for processing the substrate for multiple nucleation cycles. Germane flows at a flow rate of 250 seem with a carrier gas (argon) having a flow rate of 1000 seem. Hydrogen flows at a flow rate of 19,000 sccm during both the germane pulse and the tungsten hexafluoride pulse. Tungsten hexafluoride flows at a flow rate of 270 sccm. The thickness of the deposited tungsten nucleation layer is measured at each nucleation cycle. These results are represented by square dots in FIG. 8.

鎢沉積速率(Å/每循環)的總結顯示於表1。 A summary of the tungsten deposition rate (Å / per cycle) is shown in Table 1.

如表所示,在鎢成核層沉積期間使用氫流動大幅降低了鎢成核層的厚度,這暗示氫的存在減少了使用鍺烷之每循環中的鎢沉積量。 As shown in the table, the use of hydrogen flow during the deposition of tungsten nucleation layer significantly reduced the thickness of the tungsten nucleation layer, which suggests that the presence of hydrogen reduces the amount of tungsten deposited per cycle using germane.

實驗2:成核層電阻率 Experiment 2: Resistivity of Nucleation Layer

進行實驗以顯示在鎢成核層沉積期間使用含鍺還原試劑對所沉積之鎢成核層之整體電阻率的影響。這些實驗在300℃下進行。 Experiments were performed to show the effect of using a germanium-containing reducing agent during the deposition of a tungsten nucleation layer on the overall resistivity of the deposited tungsten nucleation layer. These experiments were performed at 300 ° C.

第一系列的實驗涉及藉由(1)在沒有氫的存在下,將基板曝於鍺烷(GeH4)、(2)將基板曝於六氟化鎢(WF6)、以及(3)重複步驟(1)與(2)以供各成核循環所用而處理基板。鍺烷以250sccm的流速與具有1000sccm之流速的載體氣體(氬)一起流動。六氟化鎢以270sccm的流速流動。所沉積之鎢成核層的電阻率在各成核厚度下量測。此等結果顯示於圖9並且由圓點所代表。 The first series of experiments involved repeating (1) exposing the substrate to germane (GeH 4 ) in the absence of hydrogen, (2) exposing the substrate to tungsten hexafluoride (WF 6 ), and (3) repeated Steps (1) and (2) are used for processing the substrate for each nucleation cycle. Germane flows at a flow rate of 250 seem with a carrier gas (argon) having a flow rate of 1000 seem. Tungsten hexafluoride flows at a flow rate of 270 sccm. The resistivity of the deposited tungsten nucleation layer was measured at each nucleation thickness. These results are shown in Figure 9 and are represented by dots.

第二系列的實驗涉及藉由(1)在氫環境中將基板曝於鍺烷(GeH4)、(2)將基板曝於六氟化鎢(WF6)、以及(3)重複步驟(1)與(2)以供各成核循環所用而處理基板。鍺烷以250sccm的流速與具有1000sccm之流速的載體氣體(氬)一起流動。氫氣在整個鍺烷(GeH4)脈衝與六氟化鎢(WF6)脈衝兩者期間以19000sccm的流速流動。六氟化鎢(WF6)以270sccm的流速流動。所沉積之鎢成核層的電阻率在在各成核厚度下量測。此等結果在圖9以方點所代表。 The second series of experiments involved (1) exposing the substrate to germane (GeH 4 ) in a hydrogen environment, (2) exposing the substrate to tungsten hexafluoride (WF 6 ), and (3) repeating step (1). ) And (2) for processing the substrate for each nucleation cycle. Germane flows at a flow rate of 250 seem with a carrier gas (argon) having a flow rate of 1000 seem. Hydrogen flowed at a flow rate of 19,000 sccm during both the germane (GeH 4 ) pulse and the tungsten hexafluoride (WF 6 ) pulse. Tungsten hexafluoride (WF 6 ) flows at a flow rate of 270 sccm. The resistivity of the deposited tungsten nucleation layer is measured at each nucleation thickness. These results are represented by square dots in FIG. 9.

使用鍺烷所沉積的成核層電阻率總結顯示於表2。 A summary of the resistivity of the nucleation layer deposited using germane is shown in Table 2.

如表所示,在鎢成核層沉積期間使用氫流動大幅降低了鎢成核層的電阻率。 As shown in the table, the use of hydrogen flow during the deposition of the tungsten nucleation layer significantly reduced the resistivity of the tungsten nucleation layer.

具有20Å厚度之鎢成核層的基板進一步地處理且本體鎢沉積到基板的特徵物中。因此,整體電阻率約為60μΩ-cm,代表電阻率實質上並未變化。此為預期之外的結果,因為使用習知方法(例如二硼烷)所沉積而成核層上沉積有厚度低於100Å的本體鎢層通常在本體鎢沉積之後在電阻率上展現出微小的增加。這支持了「所有沉積至特徵物之中的鎢可能實質上是α-鎢(例如低電阻率的鎢)」的理論,因為40Å的鎢沉積在20Å的成核層上而電阻率實質上並未變化。 The substrate with a tungsten nucleation layer with a thickness of 20Å is further processed and bulk tungsten is deposited into the features of the substrate. Therefore, the overall resistivity is about 60 μΩ-cm, which represents that the resistivity has not changed substantially. This is an unexpected result, as a bulk tungsten layer with a thickness of less than 100 Å deposited on a core layer deposited using a conventional method (such as diborane) usually exhibits a small resistivity after bulk tungsten deposition. increase. This supports the theory that "all tungsten deposited into features may be substantially alpha-tungsten (such as low-resistivity tungsten)", because 40Å tungsten is deposited on a 20Å nucleation layer and the resistivity is substantially the same. Unchanged.

實驗3:整體電阻率 Experiment 3: Overall resistivity

進行實驗以測定在鎢成核層沉積期間使用含鍺還原試劑、在以CVD沉積本體鎢之後,所沉積之鎢的整體電阻率的影響。 Experiments were performed to determine the effect of the overall resistivity of the deposited tungsten after depositing bulk tungsten by CVD using a germanium-containing reducing agent during tungsten nucleation layer deposition.

在基板上進行三道程序以沉積成核層及本體鎢的填充。做為一範例,此實驗的程序條件列於表3。 Three procedures are performed on the substrate to deposit a nucleation layer and a bulk tungsten fill. As an example, the program conditions for this experiment are listed in Table 3.

2循環B2H6/WF6脈衝 5循環B2H6脈衝 CVD 2 cycles B 2 H 6 / WF 6 pulse 5 cycles B 2 H 6 pulse CVD

程序A中,基板藉由(1)在氫存在的情況下將基板暴露於鍺烷(GeH4)、(2)將基板暴露於六氟化鎢(WF6)、(3)重複步驟(1)與(2)以用於多個成核循環、以及(4)由CVD沉積本體鎢而處理基板。對沉積成核層與沉積本體鎢兩者而言,基板均以300℃處理。為了成核層的沉積,鍺烷以250sccm的流速與具有1000sccm之流速的載體氣體(氬)一起流動。氫在前段以19000sccm的流速流動而在後段為13000sccm。在程序B和C中,使用二硼烷(B2H6)以兩種最佳化的程序處理基板。所沉積之鎢層的電阻率在成核層與本體CVD層的多種累計厚度下量測。此結果顯示於圖10。程序A的結果以三角點所代表,程序B的結果由方點所代表而程序C的結果以菱形點所代表。為了此實驗的目標,每一程序中具有最低厚度的點係僅有成核層沉積的基板。其後對應較大厚度的數據點代表成核層以及由CVD所沉積之全部鎢層的電阻率。 In Procedure A, the substrate is repeated by (1) exposing the substrate to germane (GeH 4 ) in the presence of hydrogen, (2) exposing the substrate to tungsten hexafluoride (WF 6 ), and (3) ) And (2) for processing multiple nucleation cycles, and (4) depositing bulk tungsten by CVD. For both the deposition of the nucleation layer and the deposition of bulk tungsten, the substrate was processed at 300 ° C. For the deposition of a nucleation layer, germane was flowed at a flow rate of 250 sccm with a carrier gas (argon) having a flow rate of 1000 sccm. Hydrogen flows at a flow rate of 19000 sccm in the front section and 13000 sccm in the rear section. In procedures B and C, the substrate was processed using diborane (B 2 H 6 ) in two optimized procedures. The resistivity of the deposited tungsten layer is measured under various cumulative thicknesses of the nucleation layer and the bulk CVD layer. This result is shown in FIG. 10. The result of program A is represented by triangular points, the result of program B is represented by square points and the result of program C is represented by diamond points. For the purpose of this experiment, the point with the lowest thickness in each procedure is a substrate with only a nucleation layer deposited. Data points corresponding to larger thicknesses thereafter represent the resistivity of the nucleation layer and all tungsten layers deposited by CVD.

程序B與程序C兩者均涉及使用二硼烷以沉積成核層,且此程序兩者的整體鎢膜電阻率均在以CVD沉積本體鎢層後展現急遽的增加。例如,程序B的基板電阻率從102.6μΩ-cm增至114.6μΩ-cm,而程序C的基板從83.4μΩ-cm增至122.1μΩ-cm。而程序A顯示意料之外的結果。在本體鎢層的沉積之後,基板的電阻率從125.4μΩ-cm減至108.2μΩ-cm。按照以上參照圖2所說明的趨勢,這證實了「使用像是鍺烷之含鍺還原試劑的成核層沉積促進了α-鎢的生成」的理論,因為若沉積的是β-鎢,則電阻率的急遽增加會顯示於數據中,類似於程序B與C所呈現的。應當注意,程序B與C的電阻率增加發生在相對較薄的厚度(例如對程序B而言係在40Å與80Å之間而對於程序C而言係在20Å與60Å之間)。因 此,於此說明的鍺基底成核程序可尤其適於相對較薄之鎢膜(例如低於約100Å)的沉積。 Both procedure B and procedure C involve the use of diborane to deposit a nucleation layer, and the overall tungsten film resistivity of both procedures exhibits a sharp increase after the bulk tungsten layer is deposited by CVD. For example, the substrate resistivity of program B increased from 102.6 μΩ-cm to 114.6 μΩ-cm, and the substrate of program C increased from 83.4 μΩ-cm to 122.1 μΩ-cm. And program A shows unexpected results. After the bulk tungsten layer was deposited, the resistivity of the substrate was reduced from 125.4 μΩ-cm to 108.2 μΩ-cm. Following the trend explained above with reference to Figure 2, this confirms the theory that "the deposition of a nucleation layer using a germanium-containing reducing agent like germane promotes the formation of α-tungsten", because if β-tungsten is deposited, then The sharp increase in resistivity will be displayed in the data, similar to that shown in procedures B and C. It should be noted that the resistivity increase of procedures B and C occurs at a relatively thin thickness (for example, between procedure 40 Å and 80 Å for procedure B and between 20 Å and 60 Å for procedure C). because Thus, the germanium substrate nucleation procedure described herein may be particularly suitable for the deposition of relatively thin tungsten films (eg, less than about 100 Å).

注意,儘管程序A中的電阻率量測值高於在某些在程序B與C中所量測到的電阻率,但程序B與程序C兩者已予以最佳化。可預期「用於鎢沉積而使用含鍺化合物之成核層沉積的進一步最佳化可降低整體的電阻率」。 Note that although the resistivity measurements in Program A are higher than those measured in Programs B and C, both Program B and Program C have been optimized. "Further optimization of nucleation layer deposition using germanium-containing compounds for tungsten deposition can reduce overall resistivity" is expected.

結論 in conclusion

儘管上述的實施例已為了清楚了解的目的而以某些細節所說明,但某些變化及改良可顯而易知地在所附加之申請專利範圍的範疇內實施。應當注意,有許多實施此等實施例之程序、系統及設備的其他可選方法。因此此等實施例應視為解釋而非限制,而實施例不應受限於此處所提出的細節。 Although the above-mentioned embodiments have been described in some details for the purpose of clear understanding, certain changes and improvements can be obviously implemented within the scope of the appended patent application. It should be noted that there are many other alternative ways of implementing the procedures, systems, and devices of these embodiments. These embodiments are therefore to be considered as illustrative and not restrictive, and the embodiments should not be limited to the details set forth herein.

Claims (20)

一種以鎢填充基板上之特徵物的方法,該方法包括:在沉積一本體鎢層之前,藉由將該特徵物暴露於一含鍺還原試劑與一含鎢前驅體的交替脈衝以形成一鎢成核層;以及在該鎢成核層上沉積該本體鎢層,其中該本體鎢層包含α-鎢。 A method for filling a feature on a substrate with tungsten, the method comprising: before depositing a bulk tungsten layer, exposing the feature to alternating pulses of a germanium-containing reducing agent and a tungsten-containing precursor to form a tungsten A nucleation layer; and depositing the bulk tungsten layer on the tungsten nucleation layer, wherein the bulk tungsten layer comprises alpha-tungsten. 如申請專利範圍第1項所述之以鎢填充基板上之特徵物的方法,其中該本體鎢層為至少90%的α-鎢。 The method for filling a feature on a substrate with tungsten as described in item 1 of the scope of the patent application, wherein the bulk tungsten layer is at least 90% of α-tungsten. 如申請專利範圍第1項所述之以鎢填充基板上之特徵物的方法,其中該本體鎢層為至少99%的α-鎢。 The method for filling features on a substrate with tungsten as described in item 1 of the scope of the patent application, wherein the bulk tungsten layer is at least 99% α-tungsten. 如申請專利範圍第1項所述之以鎢填充基板上之特徵物的方法,其中實質上所有形成在特徵物內的鎢為α-鎢。 The method for filling a feature on a substrate with tungsten as described in item 1 of the scope of the patent application, wherein substantially all tungsten formed in the feature is α-tungsten. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中該鎢成核層形成為約1nm與約20nm之間的厚度。 The method for filling a feature on a substrate with tungsten as described in any one of claims 1 to 4, wherein the tungsten nucleation layer is formed to a thickness between about 1 nm and about 20 nm. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中該鎢成核層係形成為低於約1nm的厚度。 The method for filling a feature on a substrate with tungsten as described in any one of claims 1 to 4, wherein the tungsten nucleation layer is formed to a thickness of less than about 1 nm. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中每循環的鎢沉積量係少於約8Å。 The method for filling features on a substrate with tungsten as described in any one of claims 1 to 4, wherein the tungsten deposition amount per cycle is less than about 8 Å. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中該鎢成核層形成於一氫大氣中。 The method for filling a feature on a substrate with tungsten as described in any one of claims 1 to 4, wherein the tungsten nucleation layer is formed in a hydrogen atmosphere. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中該含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。 The method for filling a feature on a substrate with tungsten as described in any one of claims 1 to 4, wherein the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ) . 如申請專利範圍第1項所述之以鎢填充基板上之特徵物的方法,更包括以化學氣相沉積法沉積該本體鎢層。 The method for filling a feature on a substrate with tungsten as described in item 1 of the patent application scope further includes depositing the bulk tungsten layer by a chemical vapor deposition method. 如申請專利範圍第1~4項之任一項所述之以鎢填充基板上之特徵物的方法,其中在該本體鎢層沉積期間的晶粒生成從鎢成核之一第一位置擴展至鎢在該特徵物內所成核之一第二位置。 The method for filling a feature on a substrate with tungsten as described in any one of claims 1 to 4, wherein the grain generation during the deposition of the bulk tungsten layer extends from one of the first positions of tungsten nucleation to One of the nucleation sites of tungsten within the feature. 一種以鎢填充基板上之特徵物的方法,該方法包括:藉由將該特徵物暴露於一含鍺還原試劑與一含鎢前驅體的交替脈衝,形成一鎢成核層;以及在形成該鎢成核層之後且在沉積一鎢本體層之前,以一含鍺還原試劑的脈衝處理該鎢成核層,其中該鎢本體層包含α-鎢。 A method of filling a feature on a substrate with tungsten, the method comprising: forming a tungsten nucleation layer by exposing the feature to alternating pulses of a germanium-containing reducing reagent and a tungsten-containing precursor; and After the tungsten nucleation layer and before depositing a tungsten bulk layer, the tungsten nucleation layer is treated with a pulse containing a germanium reducing agent, wherein the tungsten bulk layer comprises alpha-tungsten. 如申請專利範圍第12項所述之以鎢填充基板上之特徵物的方法,其中該處理步驟更包括一含鎢前驅體的穿插脈衝,其中在該處理步驟期間之受脈衝的該含鎢前驅體量係少於在形成該鎢成核層的步驟期間之受脈衝的該含鎢前驅體量。 The method for filling a feature on a substrate with tungsten as described in item 12 of the scope of the patent application, wherein the processing step further includes a pulse of tungsten-containing precursor, wherein the pulsed tungsten-containing precursor is pulsed during the processing step. The volume is less than the tungsten-containing precursor pulsed during the step of forming the tungsten nucleation layer. 如申請專利範圍第12項所述之以鎢填充基板上之特徵物的方法,其中該處理步驟更包括一含鎢前驅體的穿插脈衝,其中在該處理步驟期間實質上並未有鎢沉積。 The method for filling a feature on a substrate with tungsten as described in item 12 of the scope of the patent application, wherein the processing step further includes a pulse of tungsten-containing precursor, wherein substantially no tungsten is deposited during the processing step. 如申請專利範圍第12項所述之以鎢填充基板上之特徵物的方法,其中沒有其他前驅體的穿插脈衝在該處理步驟期間受到脈衝。 The method of filling features on a substrate with tungsten as described in item 12 of the scope of the patent application, wherein no intervening pulses of other precursors are pulsed during this processing step. 如申請專利範圍第12~15項之任一項所述之以鎢填充基板上之特徵物的方法,其中該含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。 The method for filling a feature on a substrate with tungsten as described in any one of claims 12 to 15, wherein the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ) . 一種填充基板上之特徵物的設備,該設備包括:一多腔室設備,包括一腔室,該腔室包括一基板支架以及設置為將該基板暴露於氣體的一或更多氣體流入口;以及一控制器,用於控制該設備內的操作,包括以下裝置: 設置以執行機器可讀指令以將該特徵物暴露於一含鍺還原試劑與一含鎢前驅體的交替脈衝以形成一鎢成核層之裝置;及設置以執行機器可讀指令以在該鎢成核層上沉積一本體鎢層之裝置,其中該本體鎢層包含α-鎢。 An apparatus for filling features on a substrate, the apparatus comprising: a multi-chamber apparatus including a chamber, the chamber including a substrate holder and one or more gas inlets configured to expose the substrate to a gas; And a controller for controlling operations within the device, including the following devices: A device configured to execute machine-readable instructions to expose the feature to alternating pulses of a germanium-containing reducing reagent and a tungsten-containing precursor to form a tungsten nucleation layer; and a device configured to execute machine-readable instructions to Device for depositing a bulk tungsten layer on a nucleation layer, wherein the bulk tungsten layer comprises alpha-tungsten. 如申請專利範圍第17項所述之填充基板上之特徵物的設備,其中該多腔室設備更包括一處理腔室,且該控制器更包括用於下列者之指令:在沒有一含鎢前驅體之穿插脈衝的情況下,將一含鍺還原試劑予以脈衝。 The device for filling features on a substrate as described in claim 17 of the scope of patent application, wherein the multi-chamber device further includes a processing chamber, and the controller further includes instructions for: In the case of a pulse of a precursor, a germanium-containing reducing agent is pulsed. 如申請專利範圍第17或18項所述之填充基板上之特徵物的設備,其中該控制器更包括用於下列的指令:在該特徵物暴露於該含鍺還原試劑與該含鎢前驅體的交替脈衝時,將氫流入該腔室。 The device for filling a feature on a substrate according to item 17 or 18 of the scope of patent application, wherein the controller further includes instructions for: exposing the feature to the germanium-containing reducing reagent and the tungsten-containing precursor The alternating pulses flow hydrogen into the chamber. 如申請專利範圍第17或18項所述之填充基板上之特徵物的設備,其中該含鍺還原試劑為鍺烷(GeH4)或二鍺烷(Ge2H6)。 The device for filling features on a substrate according to item 17 or 18 of the scope of patent application, wherein the germanium-containing reducing agent is germane (GeH 4 ) or digermane (Ge 2 H 6 ).
TW103145125A 2013-12-27 2014-12-24 Tungsten nucleation process to enable low resistivity tungsten feature fill TWI672737B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361921266P 2013-12-27 2013-12-27
US61/921,266 2013-12-27
US14/173,733 2014-02-05
US14/173,733 US9159571B2 (en) 2009-04-16 2014-02-05 Tungsten deposition process using germanium-containing reducing agent

Publications (2)

Publication Number Publication Date
TW201526090A TW201526090A (en) 2015-07-01
TWI672737B true TWI672737B (en) 2019-09-21

Family

ID=53591818

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145125A TWI672737B (en) 2013-12-27 2014-12-24 Tungsten nucleation process to enable low resistivity tungsten feature fill

Country Status (3)

Country Link
KR (2) KR102361226B1 (en)
CN (2) CN104752339B (en)
TW (1) TWI672737B (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
KR20220139417A (en) * 2019-01-28 2022-10-14 램 리써치 코포레이션 Deposition of metal films
CN113557320A (en) 2019-03-11 2021-10-26 朗姆研究公司 Precursors for deposition of molybdenum-containing films
KR102553296B1 (en) * 2019-12-12 2023-07-10 주식회사 원익아이피에스 Method of forming thin film
US11876051B2 (en) 2022-01-12 2024-01-16 Nanya Technology Corporation Conductive layer stack and semiconductor device with a gate contact
TWI803278B (en) * 2022-01-12 2023-05-21 南亞科技股份有限公司 Semiconductor device with a gate contact
WO2023184165A1 (en) * 2022-03-29 2023-10-05 华中科技大学 Via filling method and via filling apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100159694A1 (en) * 2008-06-12 2010-06-24 Novellus Systems Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US20100267235A1 (en) * 2009-04-16 2010-10-21 Feng Chen Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3040177B2 (en) * 1990-12-17 2000-05-08 沖電気工業株式会社 Semiconductor element wiring forming method
JP3422345B2 (en) * 1995-05-31 2003-06-30 日本電信電話株式会社 Method of forming tungsten film
US20030008070A1 (en) * 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
JP2004235456A (en) * 2003-01-30 2004-08-19 Seiko Epson Corp Film depositing system, film depositing process, and process for manufacturing semiconductor device
JP3956049B2 (en) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
CN1241251C (en) * 2003-05-15 2006-02-08 上海集成电路研发中心有限公司 Process flow of improved tungsten plug structure
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7355254B2 (en) * 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
JP5277696B2 (en) * 2008-04-07 2013-08-28 パナソニック株式会社 Method for manufacturing piezoelectric device
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8609546B2 (en) * 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US20090162681A1 (en) * 2007-12-21 2009-06-25 Artur Kolics Activation solution for electroless plating on dielectric layers
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR101015125B1 (en) * 2008-03-21 2011-02-16 주식회사 하이닉스반도체 Method for fabricating semiconductor device with interface barrier
US7830016B2 (en) * 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
CN101572291B (en) * 2009-06-12 2010-09-15 中国科学院上海微***与信息技术研究所 Storage unit structure for realizing multilevel storage and manufacture method thereof
US9653353B2 (en) * 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
JP5729911B2 (en) * 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Tungsten film manufacturing method and tungsten film deposition apparatus
JP5710529B2 (en) 2011-09-22 2015-04-30 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5959991B2 (en) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 Method for forming tungsten film
CN102867953B (en) * 2012-07-24 2015-01-21 龙能科技(苏州)有限公司 Method for producing lithium ion battery cathode material by using hydroxide or hydroxyl oxide
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
KR102397797B1 (en) * 2015-05-27 2022-05-12 램 리써치 코포레이션 Deposition of low fluorine tungsten by sequential cvd process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100159694A1 (en) * 2008-06-12 2010-06-24 Novellus Systems Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US20100267235A1 (en) * 2009-04-16 2010-10-21 Feng Chen Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects

Also Published As

Publication number Publication date
KR20150077376A (en) 2015-07-07
CN104752339B (en) 2019-06-18
CN110310919A (en) 2019-10-08
KR20220024266A (en) 2022-03-03
KR102361226B1 (en) 2022-02-09
TW201526090A (en) 2015-07-01
CN104752339A (en) 2015-07-01
KR102515236B1 (en) 2023-03-29

Similar Documents

Publication Publication Date Title
TWI672737B (en) Tungsten nucleation process to enable low resistivity tungsten feature fill
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
US20220262640A1 (en) Method for preventing line bending during metal fill process
US10529722B2 (en) Tungsten for wordline applications
JP6971539B2 (en) Tungsten film with low fluorine content
US11348795B2 (en) Metal fill process for three-dimensional vertical NAND wordline
JP7092456B2 (en) Deposit of low fluorine tungsten by continuous CVD process
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
TWI663278B (en) Method for depositing extremely low resistivity tungsten
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR20230127377A (en) Low resistivity films containing molybdenum
JP2017008412A5 (en)
KR20210092840A (en) Atomic Layer Deposition on 3D NAND Structures
KR20210141762A (en) High step coverage tungsten deposition
TW202237880A (en) Low resistance pulsed cvd tungsten
KR20220044601A (en) Reduced line bending during metal filling process