TWI667944B - 具有可拆卸高電阻率氣體分配板的噴淋頭 - Google Patents

具有可拆卸高電阻率氣體分配板的噴淋頭 Download PDF

Info

Publication number
TWI667944B
TWI667944B TW104121714A TW104121714A TWI667944B TW I667944 B TWI667944 B TW I667944B TW 104121714 A TW104121714 A TW 104121714A TW 104121714 A TW104121714 A TW 104121714A TW I667944 B TWI667944 B TW I667944B
Authority
TW
Taiwan
Prior art keywords
gas distribution
distribution plate
shower head
main body
processing chamber
Prior art date
Application number
TW104121714A
Other languages
English (en)
Other versions
TW201608935A (zh
Inventor
羅莎傑森戴拉
Jason Della Rosa
奴爾巴克斯海邁德
Hamid Noorbakhsh
肯納席克弗拉德米爾
Vladimir KNYAZIK
金志修
Jisoo Kim
李元錫
Wonseok Lee
達都烏薩瑪
Usama Dadu
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201608935A publication Critical patent/TW201608935A/zh
Application granted granted Critical
Publication of TWI667944B publication Critical patent/TWI667944B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

此處提供具有可拆卸氣體分配板的噴淋頭之實施例。在某些實施例中,在半導體處理腔室中使用的噴淋頭可包括底座,該底座具有第一側及相對於第一側的第二側;氣體分配板,該氣體分配板佈置於靠近底座的第二側,其中氣體分配板係以具有介於約60Ω-cm至90Ω-cm之間的電阻率之材料形成;夾具,該夾具佈置於氣體分配板的周圍邊緣四周,以可移除地將氣體分配板耦接至底座;及熱墊片,該熱墊片佈置於底座及氣體分配板之間的間隙中。

Description

具有可拆卸高電阻率氣體分配板的噴淋頭
本揭示案的實施例大致關於半導體處理裝備。
在半導體處理腔室(例如,沉積腔室、蝕刻腔室或類似者)中使用的傳統噴淋頭典型地包括永久固定至底座的氣體分配板。歸因於在電漿處理期間暴露於電漿所造成的損傷,氣體分配板需週期性地替換。然而,發明人已觀察到因為氣體分配板被永久地固定至底座,所以需替換整個噴淋頭組件,以便替換氣體分配板,因此造成替換之處理昂貴。此外,在應用中已觀察到於使用具有低電阻率(例如,0.005-0.015Ω-cm)之氣體分配板實施高電源處理中之電弧。
因此,發明人已提供具有可拆卸氣體分配板之改良的噴淋頭的實施例。
此處提供具有可拆卸氣體分配板之噴淋頭的實施例。在某些實施例中,一種在一半導體處理腔室中使用的噴淋頭,可包括:一底座,該底座具有 一第一側及相對於該第一側的一第二側;一氣體分配板,該氣體分配板佈置於靠近該底座之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一夾具,該夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該底座;及一熱墊片,該熱墊片佈置於介於該底座及該氣體分配板之間的一間隙中。
在某些實施例中,一種處理腔室,可包括:一腔室主體,該腔室主體具有一基板支撐件佈置於該腔室主體的一內部容積之中;及一噴淋頭,該噴淋頭佈置於該腔室主體的該內部容積之中相對於該基板支撐件。該噴淋頭包括:一底座,該底座具有一第一側及相對於該第一側的一第二側,其中該底座的該第一側係耦接至該處理腔室的一部件;一氣體分配板,該氣體分配板佈置於靠近該底座之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一夾具,該夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該底座;及一熱墊片,該熱墊片佈置於介於該底座及該氣體分配板之間的一間隙中。
在某些實施例中,一種在一半導體處理腔室中使用的噴淋頭,可包括:一底座,該底座具有 一第一側及相對於該第一側的一第二側,該第二側包括三氟化釔塗布;一氣體分配板,該氣體分配板佈置於靠近該底座之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一經電鍍的夾具,該經電鍍的夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該底座;複數個聚矽氧熱墊片,該複數個聚矽氧熱墊片佈置於介於該底座及該氣體分配板之間的一間隙中;及複數個銷,該複數個銷被按壓至該底座之該第二側中,且佈置於該間隙中,以當該氣體分配板偏斜朝向該底座時維持該間隙的一厚度。
本揭示案的其他及進一步實施例係於以下說明。
100‧‧‧噴淋頭
102‧‧‧主體
104‧‧‧氣體分配板
106‧‧‧緊固件
108‧‧‧RF墊片
110‧‧‧夾具
112‧‧‧保護環
114‧‧‧凹室
116‧‧‧通孔
120‧‧‧熱墊片
122‧‧‧熱墊片
124‧‧‧熱墊片
126‧‧‧RF墊片
128‧‧‧O形環
130‧‧‧O形環
132‧‧‧O形環
134‧‧‧O形環
136‧‧‧通孔
138‧‧‧螺紋孔
140‧‧‧第二側
142‧‧‧氣體分配孔
146‧‧‧間隙
148‧‧‧RF墊片
150‧‧‧第一側
152‧‧‧銷
153‧‧‧通孔
200‧‧‧處理腔室
201‧‧‧外圓柱形導體
202‧‧‧腔室主體
203‧‧‧絕緣器
204‧‧‧處理容積
205‧‧‧內部容積
206‧‧‧保護環
207‧‧‧RF功率端
208‧‧‧基板支撐基座
209‧‧‧RF返回端
210‧‧‧基板
211‧‧‧端導體
212‧‧‧開口
213‧‧‧遠端
214‧‧‧噴淋頭
215‧‧‧近端
217‧‧‧導電圓柱體
218‧‧‧狹縫閥
219‧‧‧介電環
220‧‧‧排氣系統
222‧‧‧入口
224‧‧‧幫浦充氣部
226‧‧‧幫浦通口
228‧‧‧真空幫浦
230‧‧‧閥門
232‧‧‧排氣出口
234‧‧‧舉升機制
236‧‧‧匹配網路
238‧‧‧偏壓電源
242‧‧‧屏蔽環
244‧‧‧偏壓電源
250‧‧‧控制器
252‧‧‧CPU
254‧‧‧支援電路
256‧‧‧記憶體
258‧‧‧軟體常式
260‧‧‧接地網格
262‧‧‧匹配網路
264‧‧‧上部襯套
266‧‧‧下部襯套
270‧‧‧冷卻板
272‧‧‧外線圈
274‧‧‧內線圈
276‧‧‧環狀導電外殼
278‧‧‧***環
280‧‧‧基板支撐環
286‧‧‧RF電源
288‧‧‧氣體供應器
290‧‧‧控制流體供應器(冷卻器)
292‧‧‧同軸柱
294‧‧‧主體
296‧‧‧氣體分配板
於以上簡單摘要且於以下更加詳細討論的本揭示案之實施例,可藉由參考隨附圖式中描繪的揭露之圖示實施例而理解。然而,隨附圖式僅圖示本揭示案之典型實施例,且因此並非考慮限制其範疇,因為揭示案可容許其他均等效能之實施例。
第1圖描繪根據本揭示案之某些實施例的具有氣體分配板之噴淋頭。
第2圖描繪根據本揭示案之某些實施例,適合與具有氣體分配板之噴淋頭一起使用的處理腔室。
為了幫助理解,盡可能地使用相同的元件符號,以代表圖式中共同的相同元件。圖式並非按照尺寸繪製,且圖式可能為了清楚而簡化。一個實施例的元件及特徵可有益地併入其他實施例中而無須進一步說明。
此處提供具有可拆卸氣體分配板之噴淋頭的實施例。在至少某些實施例中,本發明的噴淋頭可有益地允許用於氣體分配板的移除及替換,因而相較於具有永久固定的氣體分配板之傳統噴淋頭,能夠提供具有較長有效壽命之噴淋頭及替換氣體分配板之更節省的方式。
第1圖描繪根據本揭示案之某些實施例的具有氣體分配板之噴淋頭。噴淋頭100通常包含主體102、氣體分配板104、及夾具110,該夾具110配置成可移除地將氣體分配板耦接至主體102。
主體102包含第一側150、第二側140、及形成於主體102中而從第一側150延伸至第二側140的複數個通孔116。複數個通孔116幫助處理氣體通過主體102至氣體分配板104。在某些實施例中,通孔116可為埋頭孔(例如,所顯示的埋孔 118)以減少通孔116處的殘餘電場,且幫助氣體更均勻地流至氣體分配板104。在某些實施例中,可在主體102的第一側150中形成凹室114,以幫助處理氣體更均勻地分配至複數個通孔116。主體102可以任何適合的處理相容材料製成,例如鋁。藉由以諸如鋁的導電材料製成主體102,主體102可供以作為電極,而例如幫助從處理氣體提供至噴淋頭100之電漿的成形。在某些實施例中,主體102的第二側140可以材料塗布,以保護第二側140避免離子、電漿或點燃。舉例而言,在某些實施例中,塗布可為三氟化釔(YF3)塗布。塗布可使用各種技術佈置在主體102的第二側140上。某些用於塗布主體102之第二側140的範例非限制方法可包括使用電子束誘導活化之靶材,從以塗布材料作成或包括塗布材料之靶材沉積或揮發塗布在主體102上。
在某些實施例中,可在主體102的表面中形成一或更多個通道,以容納一或更多個O形環及/或射頻(RF)墊片(如所顯示的O形環130、132、134及RF墊片108、126)。當存在時,O形環130、132、134提供介於主體102及夾具110或處理腔室的表面(未顯示)之間的密封。O形環130、132、134可以任何適合的材料製成,以幫助上述的密封,舉例而言,以橡膠製成。RF墊片108、126幫助RF功率的導電,舉例而言,從RF源至主體102及夾具 110。舉例而言,RF功率可從RF功率供應器(例如以下所述的RF電源286)提供至與主體102耦接且與一或更多個RF墊片(例如,RF墊片126)接觸的部件。RF墊片108、126可從任何適合的導電材料製成,舉例而言不鏽鋼。
氣體分配板104幫助從主體102提供的處理氣體之分配,例如透過在氣體分配板104中形成的複數個氣體分配孔142分配至處理腔室的處理容積。氣體分配孔142可以任何適合的方式安排,以提供處理氣體的所欲分配。舉例而言,在某些實施例中,當氣體分配板104耦接至主體102時,氣體分配孔142可分配成佈置在主體102之通孔116四周的群集。
氣體分配板104可以任何適合的材料製成,以抵抗暴露至電漿(例如,在處理期間於處理腔室中形成的電漿)期間的損傷。舉例而言在某些實施例中,氣體分配板104可從單結晶矽(Si)製成。單結晶矽並非典型用於氣體分配板的材料,至少部分歸因於相較於受青睞之材料:碳化矽,單結晶矽具有較快的蝕刻率。然而,發明人已觀察到單結晶矽較不易受到表面粗糙度改變、電弧及微遮罩之影響,且相較於用於製成氣體分配板的傳統材料(例如氮化矽(SiC)),單結晶矽進一步於提昇溫度時(例如,高於約攝氏150度)提供較佳地操作性。此外,相較 於傳統材料,單結晶矽更易於取得且可以更低的價格獲得。此外,在將噴淋頭100使用於牽涉含矽氣體之基板處理之處的實施例中,由矽製成的氣體分配板104降低因為氣體分配板104之損傷而造成的污染情況。
在某些實施例中,氣體分配板104係從單結晶矽材料製成,具有介於約60Ω-cm及90Ω-cm之間的電阻率,以降低電弧。如上所述,發明人已觀察到低電阻率氣體分配板(例如,具有約0.005-0.015Ω-cm之電阻率的氣體分配板)在處理期間將形成電弧,其中電源為162MHz而大於或等於2000瓦。因此,當噴淋頭100使用於高電源處理中時,氣體分配板104的高電阻率有益地降低電弧。在某些實施例中,獲得的單結晶矽之晶塊可摻雜以改變晶塊的電阻率。舉例而言,單結晶矽晶塊可以諸如硼的高電阻率材料摻雜或塗布,以增加材料的電阻率。在某些實施例中,若氣體分配板104係從低電阻率材料製成,氣體分配板104可以高電阻率材料處置、塗布或摻雜,以增加氣體分配板104的電阻率。
氣體分配板104可具有任何適合的厚度,足以提供所欲的氣體分配及適合的有效功能壽命。此外,在某些實施例中,氣體分配板104可具有適合的厚度,足以確保當氣體分配板104耦接至主體102時,持續接觸佈置於氣體分配板104及主體102 之間的一或更多熱墊片(如所顯示的三個熱墊片120、122、124)。舉例而言,在某些實施例中,氣體分配板104的厚度可經選擇,使得由夾具110於氣體分配板104之邊緣處提供的力量所造成的氣體分配板104之躬曲量小於當壓縮時熱墊片120、122、124變形的量,因此確保當夾持時與各個熱墊片120、122、124之持續接觸。取而代之或相結合地,在某些實施例中,氣體分配板104的厚度可經選擇,以提供適合的氣體分配孔142之縱橫比,以降低電漿穿透且改善氣體分配板104的有效功能壽命。舉例而言,在氣體分配孔142具有約0.5mm之直徑的實施例中,氣體分配板104可具有約9mm的厚度。
夾具110幫助將氣體分配板104耦接至主體102。在某些實施例中,夾具110透過緊固件106幫助此耦接,該緊固件106提供至形成於主體102中的通孔136,通孔136相對應於形成於夾具中的螺紋孔138。夾具110可從任何處理相容導電材料製成,例如鋁。在某些實施例中,夾具110可以噴灑塗布(例如,氧化釔(Y2O3))來塗布,以降低在電漿環境中夾具110的損傷。在某些實施例中,夾具110可取而代之地以氧化鋁塗布電鍍。
在某些實施例中,夾具110可包括形成於夾具110之表面中的一或更多通道,以容納一或更多O形環及RF墊片(如所顯示的O形環128及RF墊 片148)。當存在時,O形環128對氣體分配板104提供緩衝,以避免當夾持至主體102時氣體分配板104的破損。當存在時,RF墊片148幫助從主體102通過夾具110且至氣體分配板104的RF功率之導電,因此允許氣體分配板104作為RF電極。對氣體分配板104提供RF電流路徑亦遮蓋介於主體102及氣體分配板104之間的間隙146,而減少例如於主體102之通孔116處的電弧。O形環128及RF墊片148可從任何適合的材料製成,例如以上針對O形環130、132、134及RF墊片108、126所討論的材料。
在某些實施例中,熱墊片120、122、124可佈置於主體102及氣體分配板104之間。當存在時,熱墊片120、122、124可幫助介於主體102及氣體分配板104之間的熱交換,例如以提供橫跨氣體分配板104之更均勻的熱梯度。此外,熱墊片120、122、124可在主體102及氣體分配板104之間提供間隙146,且對通孔116及相對應氣體分配孔142之群組界定分開的充氣部(例如,區)。在某些實施例中,噴淋頭100亦可包括按壓至主體102中的複數個銷152。當氣體分配板104偏斜向主體102時,複數個銷152確保間隙146維持實質上未改變。各個銷152包括通孔153,以確保在銷152後方的任何間隙完全被消除。
熱墊片120、122、124可以任何可壓縮、導熱材料製成,而在處理壓力及溫度(例如,真空條件及在或高於攝氏150度的溫度)處具有低的出氣。在某些實施例中,墊片可包含含聚矽氧材料,例如從Fujipoly®可取得之SARCON® GR-M或具有高導熱性及阻燃特性之其他聚矽氧橡膠材料。熱墊片120、122、124可具有任何適合的形狀,以維持主體102及氣體分配板104之間的接觸。舉例而言,在某些實施例中,熱墊片120、122、124可為複數個同心環,具有如第1圖中所顯示的矩形截面。在某些實施例中,熱墊片120、122、124的幾何形狀可優化,以容納當夾持在一起時歸因於由夾具110於氣體分配板104之邊緣處提供的力量造成的主體102及氣體分配板104之間距離的差異(例如,氣體分配板104的躬曲)。
在某些實施例中,保護環112可佈置在噴淋頭四周,以遮蓋主體102、夾具110及氣體分配板104的部分。保護環112可從任何適合的處理相容材料製成,例如石英(SiO2)。
第2圖描繪根據本揭示案之某些實施例,適合與噴淋頭一起使用的圖示處理腔室200之概要視圖。範例處理腔室可包括ENABLER®、ENABLER® E5、ADVANTEDGETM或從加州Santa Clara的Applied Materials,Inc.可 取得之其他處理腔室。具有噴淋頭或經修改以具有噴淋頭的其他適合的處理腔室,可從本揭示案類似地獲益。
在某些實施例中,處理腔室200可通常包含腔室主體202,具有基板支撐基座208佈置於腔室主體的內部容積205之中,用於在其上支撐基板210,及排氣系統220用於從腔室主體202的內部容積205移除過量處理氣體、處理副產物或類似者。
在某些實施例中,上部襯套264及下部襯套266可覆蓋腔室主體202的內部,以在處理期間保護腔室主體202。在某些實施例中,腔室主體202具有可包括處理容積204的內部容積205。處理容積204可例如界定於基板支撐基座208及噴淋頭214(例如,上述之噴淋頭100)及/或提供於所欲地點的噴嘴之間。在某些實施例中,氣體供應器288可提供一或更多處理氣體至噴淋頭214,用於將一或更多處理氣體分配至腔室主體202的處理容積204。
在某些實施例中,基板支撐基座208可包括將基板210保持或支撐在基板支撐基座208之表面上的機制,例如靜電夾盤、真空夾盤、基板保持夾具或類似者。取而代之或相結合地,在某些實施例中,基板支撐基座208可包括用於控制基板溫度(例如,加熱及/或冷卻裝置,未顯示)及/或用於控制靠近基板表面之物種通量及/或離子能量的機制。舉例 而言,在某些實施例中,基板支撐基座208可包括電極240及透過分別的匹配網路236、262耦接至電極240的一或更多電源(兩個偏壓電源238、244)。舉例而言,基板支撐基座208可經配置作為透過匹配網路262耦接至偏壓電源244的陰極。上述之偏壓電源(例如,偏壓電源238、244)可以約2MHz、或約13.56MHz、或約60MHz的頻率而能夠產生高達約12,000W。至少一個偏壓電源可提供連續或脈衝電源之任一者。在某些實施例中,偏壓電源可為DC或者脈衝DC源。
在某些實施例中,基板支撐基座208可包括佈置於基板支撐基座208上方的基板支撐環280,且配置成在處理期間支撐至少部分的基板210。在某些實施例中,一或更多環(如所顯示的***環278及屏蔽環242)可佈置於基板支撐基座208的四周。一或更多環可從任何適合的處理相容材料製成。舉例而言,在某些實施例中,***環可從矽(Si)製成。在某些實施例中,屏蔽環242可從石英(SiO2)製成。在某些實施例中,接地網格260可佈置於基板支撐基座208的周圍四周,且耦接至腔室主體202。
基板210可透過腔室主體202之壁中的開口212進入腔室主體202。開口212可選擇性地透過狹縫閥218或其他機制密封,用於選擇性地對腔室之內部透過開口212提供出入口。基板支撐基座208 可耦接至舉升機制234,該舉升機制234可在適合用於透過開口212傳送基板進入及離開腔室之較低位置(未顯示)及用於處理之可選擇較高位置之間控制基板支撐基座208的位置。處理位置可經選擇以對特定處理最大化均勻性。當在至少一個抬昇的處理位置中時,基板支撐基座208可佈置於開口212上方,以提供對稱處理區域。
在某些實施例中,保護環206(例如,上述之保護環112)可佈置在噴淋頭214四周,且舉例而言,覆蓋至少部分的噴淋頭214,例如覆蓋噴淋頭214的主體294(例如,上述之主體102)或氣體分配板296(例如,上述之氣體分配板104)。在某些實施例中,保護環206可藉由上部襯套264支撐。
在某些實施例中,噴淋頭214可耦接至及/或藉由冷卻板270支撐。當存在時,冷卻板270在處理期間幫助控制噴淋頭214上的溫度。在某些實施例中,冷卻板270包含形成於冷卻板270中的複數個通道(未顯示),以允許由溫度控制流體供應器(冷卻器)290所提供的溫度控制流體流動通過冷卻板270,來幫助控制噴淋頭214上的溫度。
在某些實施例中,一或更多線圈(如所顯示的內線圈274及外線圈272)可佈置於靠近噴淋頭的周圍邊緣及/或四周。當存在時,一或更多線圈 可幫助塑型在處理腔室200的處理容積204之中所形成的電漿。
在某些實施例中,RF電源286透過同軸柱(coaxial stub)292提供RF功率至冷卻板270及/或噴淋頭214。RF電源286可以大於或等於2000瓦的功率及約162MHz之頻率操作,且於約227MHz的頻率高達約5000W。如上所述,本發明的氣體分配板104在處理期間將不會形成電弧,其中RF電源以高頻率而約2000W或更高的功率操作。同軸柱292為固定的阻抗匹配網路,具有特性阻抗、共振頻率、且在噴淋頭214及RF電源286之間提供相近的阻抗匹配。在某些實施例中,同軸柱292通常包含內圓柱形導體298、外圓柱形導體201及填充於內圓柱形導體298及外圓柱形導體201之間空間的絕緣器203。
內圓柱形導體298及外圓柱形導體201可以任何適合的導電材料建立,而能夠忍受特定處理環境。舉例而言,在某些實施例中,內圓柱形導體298及外圓柱形導體201可從塗布鎳的鋁製成。一或更多分接頭221係沿著同軸柱292的軸向長度提供於特定點,用於從RF電源286提供RF功率至同軸柱292。RF電源286的RF功率端207及RF返回端209係連接於同軸柱292的分接頭221處,而分別至內圓柱形導體298及外圓柱形導體201。於同軸柱292的 遠端213處之端導體211將內圓柱形導體298及外圓柱形導體201短路在一起,使得同軸柱292於同軸柱292的遠端213處短路。於同軸柱292的近端215處,外圓柱形導體201係透過環狀導電外殼或支撐件276連接至腔室主體202,同時內圓柱形導體298係透過導電圓柱體217連接至冷卻板270及/或噴淋頭214。在某些實施例中,介電環219係佈置於導電圓柱體217及冷卻板270之間且分開導電圓柱體217及冷卻板270。
排氣系統220通常包括幫浦充氣部224及將幫浦充氣部224耦接至腔室主體202之內部容積205(且通常為處理容積204)的一或更多導管,舉例而言,耦接係透過一或更多入口222。真空幫浦228可透過幫浦通口226耦接至幫浦充氣部224,用於從腔室主體202抽出廢氣。真空幫浦228可流體耦接至排氣出口232,用於路由廢氣至適當的廢氣處置設備。閥門230(例如,閘門或類似者)可佈置於幫浦充氣部224中,以與真空幫浦228之操作結合,來幫助控制廢氣的流率。儘管顯示Z動作閘門,亦可利用任何適合的處理相容閥門用於控制排氣的流動。
為了幫助上述處理腔室200的控制,控制器250可為任何形式之通用電腦處理器之一者,而可在工業設定上用於控制各種腔室及子處理器。CPU 252的記憶體或電腦可讀取媒體256可為一或更多 立即可取得記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或本端或遠端之數位儲存之任何其他形式。支援電路254係耦接至CPU 252用於以傳統的方式支援處理器。此等電路包括快取、電源供應器、計時電路、輸入/輸出電路及子系統、及類似者。
一或更多方法及/或處理可通常儲存於記憶體256中作為軟體常式258,而當藉由CPU 252執行時,造成處理腔室200實行處理方法及/或處理。軟體常式258亦可儲存於及/或藉由第二CPU(未顯示)執行,該第二CPU遠離藉由CPU 252控制的硬體。本揭示案的某些或所有方法亦可實行於硬體中。如此,方法及/或處理可在軟體中實施,且使用諸如特定應用積體電路或其他類型的硬體實施之硬體中的電腦系統執行,或作為軟體及硬體的結合。軟體常式258可在基板210定位於基板支撐基座208上之後執行。當藉由CPU 252執行時,軟體常式258從通用電腦轉變成控制腔室操作的特定功能電腦(控制器)250,使得能夠實行此處揭示的方法。
因此,此處已提供具有可拆卸氣體分配板的噴淋頭之實施例。本發明之噴淋頭的實施例相較於傳統噴淋頭可有益地提供更長的有效壽命,及替換氣體分配板的更節省方式。
儘管以上導向本揭示案之實施例,可策劃本揭示案之其他及進一步實施例而未悖離其基本範疇。

Claims (16)

  1. 一種在一半導體處理腔室中使用的噴淋頭,包含:一主體,該主體具有一第一側及相對於該第一側的一第二側;一氣體分配板,該氣體分配板佈置於靠近該主體之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一夾具,該夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該主體;一熱墊片,該熱墊片佈置於介於該主體及該氣體分配板之間的一間隙中;及一或更多銷,該一或更多銷被按壓至該主體之該第二側中,且佈置於該間隙中,以當該氣體分配板偏斜朝向該主體時維持該間隙的一厚度,其中該一或更多銷之各者包括一通孔,以允許消除各個銷後面的一容積。
  2. 如請求項1所述之噴淋頭,其中該熱墊片包含複數個同心環,該複數個同心環佈置於該主體及該氣體分配板之間。
  3. 如請求項1所述之噴淋頭,進一步包含:在該主體之該第二側上之三氟化釔塗布。
  4. 如請求項1所述之噴淋頭,其中該氣體分配板係從單結晶矽(Si)製成。
  5. 如請求項1至4之任一項所述之噴淋頭,其中該氣體分配板係從單結晶矽(Si)製成,該單結晶矽摻雜或塗布一高電阻率材料。
  6. 如請求項1至4之任一項所述之噴淋頭,其中該氣體分配板係從單結晶矽(Si)製成,該單結晶矽以硼(boron)摻雜或塗布。
  7. 如請求項1至4之任一項所述之噴淋頭,其中該主體包含複數個通孔,該複數個通孔從該主體的該第一側延伸至該第二側。
  8. 如請求項7所述之噴淋頭,其中該主體包含形成於該主體之該第一側的一充氣部,該充氣部與該複數個通孔流體耦接。
  9. 一種處理腔室,包含:一腔室主體,該腔室主體具有一基板支撐件佈置於該腔室主體的一內部容積之中;及一噴淋頭,該噴淋頭佈置於該腔室主體的該內部容積之中相對於該基板支撐件,該噴淋頭包含:一主體,該主體具有一第一側及相對於該第一側的一第二側,其中該主體的該第一側係耦接至該處理腔室的一部件;一氣體分配板,該氣體分配板佈置於靠近該主體之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一夾具,該夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該主體;一熱墊片,該熱墊片佈置於介於該主體及該氣體分配板之間的一間隙中;及複數個銷,該複數個銷被按壓至該主體之該第二側中,且佈置於該間隙中,以當該氣體分配板偏斜朝向該主體時維持該間隙的一厚度,其中該複數個銷之各者包括一通孔,以允許消除各個銷後面的一容積。
  10. 如請求項9所述之處理腔室,其中該熱墊片包含複數個同心環,該複數個同心環佈置於該主體及該氣體分配板之間。
  11. 如請求項10所述之處理腔室,其中該處理腔室的該部件為一冷卻板,且其中該冷卻板係耦接至該腔室主體的一頂板。
  12. 如請求項10至11之任一項所述之處理腔室,進一步包含一射頻(RF)電源,該RF電源耦接至該噴淋頭,其中該RF電源以大於或等於約2000瓦之一功率及約162MHz之一頻率操作。
  13. 如請求項10至11之任一項所述之處理腔室,其中該氣體分配板係從單結晶矽(Si)製成。
  14. 如請求項10至11之任一項所述之處理腔室,其中該氣體分配板係從單結晶矽(Si)製成,該單結晶矽摻雜或塗布一高電阻率材料。
  15. 如請求項10至11之任一項所述之處理腔室,其中該氣體分配板係從單結晶矽(Si)製成,該單結晶矽以硼摻雜或塗布。
  16. 一種在一半導體處理腔室中使用的噴淋頭,包含:一主體,該主體具有一第一側及相對於該第一側的一第二側,該第二側包括三氟化釔塗布;一氣體分配板,該氣體分配板佈置於靠近該主體之該第二側,其中該氣體分配板係從具有介於約60Ω-cm至約90Ω-cm之間的一電阻率之一材料形成;一經電鍍的夾具,該經電鍍的夾具佈置於該氣體分配板的一周圍邊緣四周,以可移除地將該氣體分配板耦接至該主體;複數個聚矽氧熱墊片,該複數個聚矽氧熱墊片佈置於介於該主體及該氣體分配板之間的一間隙中;及複數個銷,該複數個銷被按壓至該主體之該第二側中,且佈置於該間隙中,以當該氣體分配板偏斜朝向該主體時維持該間隙的一厚度,其中該複數個銷之各者包括一通孔,以允許消除各個銷後面的一容積。
TW104121714A 2014-07-03 2015-07-03 具有可拆卸高電阻率氣體分配板的噴淋頭 TWI667944B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462020837P 2014-07-03 2014-07-03
US62/020,837 2014-07-03
US14/729,736 2015-06-03
US14/729,736 US9911579B2 (en) 2014-07-03 2015-06-03 Showerhead having a detachable high resistivity gas distribution plate

Publications (2)

Publication Number Publication Date
TW201608935A TW201608935A (zh) 2016-03-01
TWI667944B true TWI667944B (zh) 2019-08-01

Family

ID=55017493

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108114710A TWI708524B (zh) 2014-07-03 2015-07-03 具有可拆卸高電阻率氣體分配板的噴淋頭
TW104121714A TWI667944B (zh) 2014-07-03 2015-07-03 具有可拆卸高電阻率氣體分配板的噴淋頭

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108114710A TWI708524B (zh) 2014-07-03 2015-07-03 具有可拆卸高電阻率氣體分配板的噴淋頭

Country Status (5)

Country Link
US (3) US9911579B2 (zh)
KR (3) KR102022110B1 (zh)
CN (2) CN107481962B (zh)
TW (2) TWI708524B (zh)
WO (1) WO2016003631A1 (zh)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6868616B2 (ja) 2015-10-08 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 背面でのプラズマ点火が低減されたシャワーヘッド
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10373810B2 (en) 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate
US11010806B2 (en) 2016-11-10 2021-05-18 Gerald McLaughlin Method and system for distributed manufacturing
US11580582B1 (en) 2016-03-08 2023-02-14 Gerald McLaughlin Method and system for description database creation, organization, and use
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10811232B2 (en) * 2017-08-08 2020-10-20 Applied Materials, Inc. Multi-plate faceplate for a processing chamber
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
JP7278175B2 (ja) * 2019-08-23 2023-05-19 東京エレクトロン株式会社 基板処理装置、基板処理装置の製造方法及びメンテナンス方法
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW309692B (en) * 1996-02-02 1997-07-01 Applied Materials Inc Parallel plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
TW548741B (en) * 2000-12-29 2003-08-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TWM396561U (en) * 2009-10-13 2011-01-11 Lam Res Corp Showerhead electrode assembly,inner electrode and gasket therefor
TW201126600A (en) * 2009-11-25 2011-08-01 Greene Tweed Of Delaware Methods of coating substrate with plasma resistant coatings and related coated substrates

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076903A (en) * 1991-02-11 1991-12-31 Sequel Corporation Anodizing rack and clamps
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6447853B1 (en) * 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
WO2004079778A2 (en) * 2003-02-28 2004-09-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
KR100638875B1 (ko) 2005-07-15 2006-10-27 삼성전기주식회사 초저전력 고효율 무선 디지탈 수신기
US7743730B2 (en) 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US20090200553A1 (en) * 2007-11-30 2009-08-13 Applied Materials, Inc High temperature thin film transistor on soda lime glass
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5316031B2 (ja) 2009-01-30 2013-10-16 セイコーエプソン株式会社 液体噴射装置および液体噴射方法
JP4317262B1 (ja) 2009-03-30 2009-08-19 株式会社コスモライフ 飲料ディスペンサ
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
JP5045786B2 (ja) * 2010-05-26 2012-10-10 東京エレクトロン株式会社 プラズマ処理装置
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
CN102305229A (zh) * 2011-08-31 2012-01-04 潍柴动力股份有限公司 一种内螺纹销
US9404174B2 (en) * 2011-12-15 2016-08-02 Applied Materials, Inc. Pinned target design for RF capacitive coupled plasma
US9303311B2 (en) * 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9472379B2 (en) * 2014-06-20 2016-10-18 Applied Materials, Inc. Method of multiple zone symmetric gas injection for inductively coupled plasma
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10373810B2 (en) * 2016-02-21 2019-08-06 Applied Materials, Inc. Showerhead having an extended detachable gas distribution plate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW309692B (en) * 1996-02-02 1997-07-01 Applied Materials Inc Parallel plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
TW548741B (en) * 2000-12-29 2003-08-21 Lam Res Corp Electrode for plasma processes and method for manufacture and use thereof
TWM396561U (en) * 2009-10-13 2011-01-11 Lam Res Corp Showerhead electrode assembly,inner electrode and gasket therefor
TW201126600A (en) * 2009-11-25 2011-08-01 Greene Tweed Of Delaware Methods of coating substrate with plasma resistant coatings and related coated substrates

Also Published As

Publication number Publication date
US10790120B2 (en) 2020-09-29
CN106663608B (zh) 2020-10-27
CN107481962A (zh) 2017-12-15
KR102216011B1 (ko) 2021-02-15
TW201931955A (zh) 2019-08-01
TW201608935A (zh) 2016-03-01
KR20190107194A (ko) 2019-09-18
KR20170116195A (ko) 2017-10-18
US20180190473A1 (en) 2018-07-05
CN107481962B (zh) 2021-09-10
US10607816B2 (en) 2020-03-31
KR102022110B1 (ko) 2019-09-17
TWI708524B (zh) 2020-10-21
KR102013421B1 (ko) 2019-08-22
KR20170024613A (ko) 2017-03-07
US20160005571A1 (en) 2016-01-07
US9911579B2 (en) 2018-03-06
CN106663608A (zh) 2017-05-10
WO2016003631A1 (en) 2016-01-07
US20200194229A1 (en) 2020-06-18

Similar Documents

Publication Publication Date Title
TWI667944B (zh) 具有可拆卸高電阻率氣體分配板的噴淋頭
TWI688668B (zh) 具有可拆卸式氣體分配板之噴淋頭
US10886107B2 (en) Extended detachable gas distribution plate and showerhead incorporating same