TWI661079B - 成膜裝置 - Google Patents

成膜裝置 Download PDF

Info

Publication number
TWI661079B
TWI661079B TW104115342A TW104115342A TWI661079B TW I661079 B TWI661079 B TW I661079B TW 104115342 A TW104115342 A TW 104115342A TW 104115342 A TW104115342 A TW 104115342A TW I661079 B TWI661079 B TW I661079B
Authority
TW
Taiwan
Prior art keywords
gas
raw material
turntable
rotary table
nozzle
Prior art date
Application number
TW104115342A
Other languages
English (en)
Other versions
TW201606118A (zh
Inventor
羽根秀臣
梅原隆人
笠間健宏
渡部翼
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201606118A publication Critical patent/TW201606118A/zh
Application granted granted Critical
Publication of TWI661079B publication Critical patent/TWI661079B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明之成膜裝置係具備有:原料氣體噴嘴,係沿著其長度方向來形成有噴出該原料氣體及載體氣體之混合氣體的氣體噴出孔;整流板部,係沿著該原料氣體噴嘴之長度方向來伸出;中央部區域,係從該真空容器內之中央部側將分離氣體供給至該旋轉台之基板載置面側;突起部,係在較該原料氣體之氣體噴出孔要靠該旋轉台之中心部側,而從該整流板部朝向該旋轉台突出;以及排氣口,係用以將該真空容器內真空排氣。

Description

成膜裝置
本發明係關於一種藉由在真空容器內讓旋轉台旋轉,而使得旋轉台上之基板依序通過原料氣體之供給區域、與原料反應的反應氣體之供給區域,來讓原料吸附於基板上,接著讓原料與反應氣體反應而加以成膜之裝置。
作為於半導體晶圓等之基板(以下稱為「晶圓」)成膜出矽氧化膜等之薄膜的方法,係已知有一種進行所謂ALD(Atomic Layer Deposition)法之成膜裝置。作為實施此ALD法之裝置,係已知有一種如專利文獻1所記載般,藉由旋轉台來讓配置於真空容器內之旋轉台上的複數晶圓旋轉,而依序通過供給原料氣體之區域、供給與原料氣體反應的反應氣體之區域的構成。該裝置中係進行原料氣體會藉由延伸於旋轉台之徑向並且沿著其長度方向來形成氣體噴出孔之氣體噴嘴來加以供給,並於氣體噴嘴上設置整流板,以提高對晶圓W之原料氣體的吸附效率。
雖會從該原料氣體之氣體噴嘴與原料氣體一同地供給為載體氣體之氮氣,但因為該載體氣體之流量而使得原料氣體之噴出量會在氣體噴嘴之長度方向中變化。因此便需要將載體氣體之流量設定為適當的數值,並調整氣體噴嘴之長度方向的氣體濃度,以確保良好的面內均勻性。利用該載體氣體之流量調整來控制膜厚之面內均勻性亦會在裝置開啟時或因維護等而重新組裝裝置的情況來加以進行。然而,專利文獻1之裝置中,係有用以確保高度面內均勻性之載體氣體的流量範圍狹窄,而難以在裝置期間或維護前後校正載體氣體流量,使得調整作業變得繁雜的問題。
本發明係提供一種在從原料氣體噴嘴與原料氣體一同地供給載體氣體,並藉由載體氣體流量來調整膜厚之面內均勻性時,對於更寬範圍之載體氣體流量而確保良好的面內均勻性,並使得面內均勻性之調整作業變得容易的技術。
本發明之成膜裝置係藉由在真空容器內讓旋轉台旋轉,而使得該旋轉台上之基板依序通過原料氣體之供給區域、與原料反應之反應氣體之供給區域,來讓該原料吸附於該基板上,以使得該原料與該反應氣體反應而加以成膜之裝置,具備有:原料氣體噴嘴,係被固定設置於該旋轉台上方,且與該旋轉台之旋轉方向交叉延伸,並沿著其長度方向來形成有噴出該原料氣體與載體氣體之混合氣體之氣體噴出孔;整流板部,係在該原料氣體噴嘴中從該旋轉方向上游側及下游側沿著氣體噴嘴之長度方向來伸出;中央部區域,係為了分離該原料氣體之供給區域與該反應氣體之供給區域,而從該真空容器內之中央部側將分離氣體供給至該旋轉台之基板載置面側;突起部,係為了抑制來自該中央部區域的分離氣體流入至該整流板部與該旋轉台之間,而在較該原料氣體之氣體噴出孔要靠該旋轉台之中心部側從該整流板部朝向該旋轉台突出;以及排氣口,係用以將該真空容器內真空排氣。
1‧‧‧成膜裝置
2‧‧‧旋轉台
5‧‧‧噴嘴蓋體
7‧‧‧控制部
10‧‧‧供給管
11‧‧‧真空容器
12‧‧‧頂板
13‧‧‧容器本體
14‧‧‧凸部
15‧‧‧氣體流道
16‧‧‧切凹部
17‧‧‧搬送口
18‧‧‧閘閥
20‧‧‧殼體
21‧‧‧支撐部
22‧‧‧旋轉驅動機構
23‧‧‧凹部
24‧‧‧搬送機構
25‧‧‧孔
27‧‧‧加熱器
28‧‧‧沖淨氣體供給管
29‧‧‧沖淨氣體供給部
31、32‧‧‧噴嘴
34‧‧‧氣體噴出孔
41、42‧‧‧噴嘴
43‧‧‧突狀部
44‧‧‧第1頂面
45‧‧‧第2頂面
50‧‧‧流通空間
51‧‧‧整流板部
52、53‧‧‧基部
54‧‧‧突起部
55‧‧‧對向部
56‧‧‧伸出部
57‧‧‧支柱
61‧‧‧環狀構件
62、63‧‧‧真空排氣口
64‧‧‧排氣管
65‧‧‧真空泵
66‧‧‧壓力調整部
67‧‧‧溝
71‧‧‧清潔氣體噴嘴
311‧‧‧氣體供給源
312‧‧‧流量調節閥
313‧‧‧氮氣供給源
321‧‧‧混合氣體的供給源
322‧‧‧流量調節閥
411、421‧‧‧流量調節閥
511‧‧‧突起部
531‧‧‧突片部
711‧‧‧清潔氣體供給源
712‧‧‧流量調節閥
W‧‧‧晶圓
S1‧‧‧收授區域
C‧‧‧中央部區域
D1‧‧‧第1分離區域
D2‧‧‧第2分離區域
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
h1、h2、h3‧‧‧高度
α、θ、β‧‧‧角度
添附圖式係作為本說明書之一部分而被納入來顯示本揭露之實施形態者,並與上述一般說明及後述實施形態之細節一同地說明本揭露之概念。
圖1係本發明之成膜裝置的縱剖側面圖。
圖2係成膜裝置之概略橫剖立體圖。
圖3係成膜裝置之橫剖俯視圖。
圖4係成膜裝置之周圍方向中的縱剖側面圖。
圖5係成膜裝置所設置之噴嘴蓋體的上面側立體圖。
圖6係噴嘴蓋體之內面側立體圖。
圖7係噴嘴蓋體之縱剖側面圖。
圖8係噴嘴蓋體之縱剖側面圖。
圖9係噴嘴蓋體之概略俯視圖。
圖10係顯示成膜裝置之成膜時的氣流之說明圖。
圖11係顯示噴嘴蓋體之其他範例的概略立體圖。
圖12係顯示噴嘴蓋體之其他範例的概略立體圖。
圖13係顯示噴嘴蓋體之另一範例的下面側之概略立體圖。
圖14係顯示噴嘴蓋體之另一範例(圖13之範例)的縱剖側面圖。
圖15係顯示噴嘴蓋體之比較例之概略俯視圖。
圖16係顯示評量實驗的結果之概略圖。
圖17係顯示評量實驗的結果之概略圖。
圖18係顯示評量實驗的結果之概略圖。
圖19係顯示評量實驗的結果之特性圖。
圖20係顯示評量實驗的結果之概略圖。
圖21係顯示評量實驗的結果之概略圖。
圖22係顯示評量實驗的結果之概略圖。
圖23係顯示評量實驗的結果之特性圖。
以下,便參照添附圖式,就本發明之實施形態來加以說明。下述詳細的說明中,係以可充分地理解本揭露之方式來提供較多具體的細節。然而,即便無此般詳細的說明,所屬技術領域中具有通常知識者亦可達成本揭露乃屬自明事項。其他範例中,為了避免難以理解各種實施形態,關於習知之方法、順序、系統或構成要素便不詳細地表示。
參照圖1至圖3就本發明實施形態之成膜裝置1來加以說明。圖1、圖2、圖3分別係成膜裝置1之縱剖側面圖、概略剖面立體圖、俯視圖。該成膜裝置1係藉由所謂ALD法來將原料氣體、與原料反應之反應氣體依序地供給至晶圓W,而沉積形成矽氧化膜(氧化矽)之薄膜。晶圓W係被載置於構成該成膜裝置1之真空容器11內所設置的旋轉台2上,並依序通過原料 氣體之供給區域、反應氣體之供給區域而反覆進行薄膜之形成,以於該晶圓W形成所欲厚度之膜。
該真空容器11係被設置於大氣氛圍中,而在成膜處理中,其內部會成為真空氛圍。真空容器11係被構成為略圓形,並藉由頂板12及成為真空容器11側壁及底部之容器本體13來加以構成。頂板12係裝卸自如地構成於容器本體13。圖1中,係顯示於容器本體13裝設有頂板12之狀態,圖2、圖3中係分別顯示將該頂板12從容器本體13卸下的狀態。
真空容器11之中央部係以從頂板12朝下方突出的方式來設置有下段側會擴徑之略圓形凸部14。該凸部14係在真空容器11之中心部形成具備有支撐該旋轉台2之支撐部21及氣體流道15的中央部區域C。圖1中之10係將為分離氣體之氮氣(N2氣體)供給至氣體流道15之供給管,並從氣體流道15在旋轉台2表面上朝向外周來供給該氮氣。如此一來,該中央部區域C中,便可防止後述原料氣體與反應氣體之混合,而分離出該原料氣體之供給區域與反應氣體之供給區域。又,凸部14之擴徑部上面係設置有朝向內側之切凹部16,該切凹部16係成為後述噴嘴蓋體5之支撐部。
旋轉台2係以從該支撐部21朝外向擴張的方式來構成為圓形。旋轉台2係藉由支撐台21下方之旋轉驅動機構22來繞其中心軸順時針旋轉。旋轉台2之表面側(一面側)係沿著該旋轉方向來形成有5個為基板載置區域之凹部23,並將晶圓W載置於該凹部23。然後,藉由旋轉台2之旋轉來讓凹部23之晶圓W繞該中心軸公轉。
真空容器11側壁係形成有晶圓W之搬送口17。搬送口17係構成為藉由閘閥18來自由開閉,並可使得進行晶圓W之搬出入的搬送機構24(參照圖2及圖3)相對於真空容器11內來進行進退。真空容器11內,係將搬送機構24進入之區域作為晶圓W之收授區域S1來加以表示。雖省略圖示,但收授區域S1中之旋轉台2下方係設置有升降銷。該升降銷會透過設置於該凹部23之孔25(參照圖3)來在旋轉台2表面出沒,並藉此來在凹部23與搬送機構24之間進行晶圓W之收授。
如圖1所示,旋轉台2下方係在遠離旋轉台2之位置設置有加熱器27。藉由加熱器27對旋轉台2之輻射熱來使得旋轉台2升溫,以加熱所載置之 晶圓W。圖中之28係用以藉由氮氣來沖淨加熱器27之配置空間的沖淨氣體供給管。又,覆蓋真空容器11底部中央的殼體20係設置有從旋轉台2下方中央部朝向周緣部將氮氣作為沖淨氣體來加以供給之沖淨氣體供給部29。
如圖3所示,對向於各該旋轉台2之凹部23所通過區域的位置係分別在真空容器11之周圍方向互相隔有間隔來配置有4根噴嘴31、32、41、42,各該等噴嘴31、32、41、42係以例如從真空容器11之外周壁朝向該中央區域C水平地延伸的方式來分別固定設置於該真空容器11之外周壁。此範例中,係依序而順時針地配設有原料氣體噴嘴31、第1分離氣體噴嘴41、反應氣體噴嘴32及第2分離氣體噴嘴42。
各噴嘴31、32、41、42係分別連接於以下各氣體供給源。亦即,如圖3所示,原料氣體噴嘴31會透過流量調節閥312來連接於含矽(Si)之原料氣體,例如3DMAS(Tris(dimethylamino)silane:SiH[N(CH3)2]3)的氣體供給源311,並且透過流量調節閥314來連接於為載體氣體之氮氣供給源313。又,反應氣體噴嘴32會透過流量調節閥322來連接於反應氣體,例如臭氧(O3)與氧氣(O2)之混合氣體的供給源(詳細來說是設置有臭氧產生器之氧氣供給源)321。第1分離氣體噴嘴41及第2分離氣體噴嘴42會透過流量調節閥411、421來分別連接於為分離氣體之氮氣供給源313。
亦參照沿著旋轉台2之旋轉方向而為縱剖側面圖之圖4。氣體噴嘴31、32、41、42之下面側係沿著各氣體噴嘴之長度方向來形成有多數氣體噴出孔34,並從該氣體噴出孔34來噴出各供給源所儲存之氣體。如此一來,便可從原料氣體噴嘴31之氣體噴出孔34來噴出原料氣體與載體氣體之混合氣體。此範例中,為了防止因從中央部區域C所噴出之分離氣體而使得在旋轉台2之中心部側的原料氣體濃度變低,係構成為在原料氣體噴嘴31中,中心部側設置有較周緣部側要多的氣體噴出孔34,而可以較多流量來供給原料氣體。
原料氣體噴嘴31之下方區域及該原料氣體噴嘴31所設置之噴嘴蓋體5之下方區域係構成用以供給有該原料氣體,並使得原料氣體吸附於晶圓W之第1處理區域P1。關於噴嘴蓋體5係在之後詳細描述。又,反應氣體噴 嘴32之下方區域係構成用以供給有反應氣體,並讓吸附於晶圓W之原料與該反應氣體反應的第2處理區域P2。
真空容器11之頂板12下方係以從該頂板12朝下方突出的方式來配置有扇狀的2個突狀部43,突狀部43係在周圍方向隔有間隔而加以設置。在旋轉台2之旋轉中心側,各突狀部43係連接於成為該中央部區域C之凸部14。分離氣體噴嘴41、42係分別嵌入至各突狀部43,並設置為於周圍方向分割該突狀部43。亦即,如圖4所示,分離氣體噴嘴41、42中之旋轉台2的周圍方向兩側係配置有為該突狀部43下面之較低的第1頂面44。然後,該頂面44之該周圍方向兩側係配置有較該第1頂面44要高之第2頂面45。
該第1頂面44下方係構成為用以阻止原料氣體與反應氣體混合的分離區域,且將設置有分離氣體噴嘴41、42之分離區域分別作為第1分離區域D1、第2分離區域D2。在成膜處理時從第1及第2分離氣體噴嘴41、42供給至該第1及第2分離區域D1、D2的分離氣體會分別在分離區域D1、D2擴散於周圍方向,而使得原料氣體及反應氣體朝向後述之真空排氣口62,63衝去。圖4係以箭頭來表示成膜處理時之氣體流向。
就真空容器11之其他各部來加以說明,如圖1及圖3所示,旋轉台2之外周側下方係沿著真空容器11之周圍來配置有環狀構件61。環狀構件61係相互分離於周圍方向而設置有第1真空排氣口62、第2真空排氣口63。 第1真空排氣口62會將原料氣體排氣,第2真空排氣口63會將反應氣體及分離氣體排氣。因此,第1真空排氣口62係設置於第1處理區域P1中靠近第1分離區域D1的位置,第2真空排氣口63係設置於第2處理區域P2中靠近第2分離區域D2的位置。
如圖1將第1真空排氣口62作為代表而加以表示般,第1及第2真空排氣口62、63會透過各排氣管64來連接於為真空排氣機構之真空泵65。 各排氣管64係介設有蝶閥等的壓力調整部66,並獨立控制來自真空排氣口62、62之各排氣量。又,圖3中之67係形成於環狀構件61之溝,並從第2真空排氣口63朝向旋轉方向上游側而形成於周圍方向。該溝67係具有將從反應氣體噴嘴32所供給之反應氣體以及從第1分離氣體噴嘴41所供給之分離氣體朝第2真空排氣口63引導之機能。
接著,便就該噴嘴蓋體5來加以說明。圖5係顯示裝設於原料氣體噴嘴31之狀態的噴嘴蓋體5之上面側,圖6係顯示從原料氣體噴嘴31卸下狀態的噴嘴蓋體5之下面側。又,圖7、圖8係噴嘴蓋體5之縱剖側面圖,圖9係噴嘴蓋體5之概略俯視圖。該噴嘴蓋體5係具備有平滑之整流板部51、從該整流板部51朝上側例如以突出為角型之方式來設置的基部52、53。 該基部52會沿著原料氣體噴嘴31之長度方向來伸長,而其縱剖面會形成為ㄈ字型,並藉由該基部52來覆蓋原料氣體噴嘴31上方及側邊。如後述般,清潔氣體噴嘴71會較原料氣體噴嘴31要短,而藉由基部53來覆蓋清潔氣體噴嘴71上方及側邊。
然後,整流板部51會以基部52、53下端從左右朝水平方向,亦即從旋轉台2之旋轉方向上游側及下游側沿著氣體噴嘴的長度方向來伸出之方式而突出。該整流板部51係在形成第1分離區域D1之突狀部43與形成第2分離區域D2之突狀部43之間的區域,以沿著該區域之形狀,隨著例如從旋轉台2之中心部側朝向外周側而連續性地擴張的方式來構成為俯視略扇形狀。如圖2、圖3及圖5所示,噴嘴蓋體5係設置為俯視為扇形的前端側(寬度較窄側)會靠近凸部14,並且後端側(寬度較寬側)會位於較旋轉台2之外周緣要靠外側。該整流板部51之前端側及後端側係構成為例如各以旋轉台2之旋轉中心O為中心,而成為半徑相異之圓的一部分,如圖3所示,該後端側係形成為從第1真空排氣口62之中央附近位置擴張至形成第2分離區域D2的突狀部43附近位置。
又,覆蓋原料氣體噴嘴31之基部52係被設置於靠近第2分離區域D2的位置,覆蓋清潔氣體噴嘴71之基部53係被設置於例如噴嘴蓋體5中較周圍方向之中央部要靠第1分離區域D1的位置。然後,例如基部53係設置有朝向該中心側突出的突片部531。該突片部531係收納於該凸部14之切凹部16內,並具有於該旋轉台2上支撐噴嘴蓋體5之機能。
進一步地,整流板部51之下面前端側係沿著其周圍方向來形成有較原料氣體噴嘴31之氣體噴出孔34要靠旋轉台2之中心部側而朝向旋轉台2突出之突起部54。該突起部54係設置為其下端側會位於較原料氣體噴嘴31之氣體噴出孔34要靠下方側。進一步地,整流板51之旋轉台2外周側 係朝下方彎曲,而形成對向於該旋轉台2之外周的對向部55。該整流板部51之對向部55中,其外周面之複數處,例如2處的部位係進一步地朝旋轉台2之外周側伸出,而分別形成伸出部56。該伸出部56下面係設置有支柱57,該支柱57會被例如螺固於該環狀構件61。
如圖4所示,該等基部52、53與頂板12之間係設置有相對於噴嘴蓋體5而在旋轉方向上游側與下游側之間流通氣體的流通空間50。該流通空間50之高度h1為例如5~15mm。又,如圖7所示,突起部54下面與旋轉台2表面(晶圓W表面)之分離距離h2為例如1.0mm~2.0mm,舉個具體範例為1.5mm。進一步地,如圖4及圖8所示,在較突起部54要靠旋轉台2之外周側中,整流板部51下面係形成於例如與原料氣體噴嘴31之氣體噴出孔34下端相同高度的位置,整流板部51與旋轉台2表面之分離距離h3為例如2.0mm~4.0mm,舉個具體範例為3.0mm。
就該噴嘴蓋體5之機能來加以說明。在成膜處理時係在旋轉台2的旋轉狀態下,從各氣體噴嘴31、32、41、42來供給氣體。此時,從原料氣體噴嘴31所噴出之原料氣體及載體之混合氣體會沿著晶圓W來流通於該整流板部51與旋轉台2之間。亦即,整流板部51會抑制原料氣體噴嘴31周圍中的原料氣體之擴散,而具有提高晶圓W與該原料氣體之反應性的機能。又,整流板部51係將從第2分離氣體噴嘴42朝向第1處理區域P1流通之分離氣體引導至該流通空間50,且亦具有防止該分離氣體朝第1處理區域P1進入的機能,而藉此便可抑制第1處理區域P1的原料氣體濃度下降。
進一步地,如上述般,雖會從中央部區域C來將分離氣體噴出於周圍方向,但藉由整流板部51之前端部所形成的突起部54,便可抑制來自中央部區域C之分離氣體流入至整流板部51與旋轉台2之間。如上述,自以往,會因載體氣體之流量而使得原料氣體之噴出量在氣體噴嘴之長度方向中變化。例如,在載體氣體之流量變多時,由於氣體流速會變大,故在氣體噴嘴31之前端側會噴出較多的原料氣體。然而,由於藉由設置突起部54而抑制來自中央部區域C之分離氣體的流入,便可抑制分離氣體會將原料氣體朝向旋轉台2之外周側推出的作用,故即便載體氣體之流量不多,仍能讓從原料氣體噴嘴31所噴出之原料氣體遍布於該整流板部51之旋轉台中 心側。藉此,不論載體氣體之流量,仍可使得晶圓W面內之原料氣體濃度一致,而在高面內均勻性之狀態下進行原料氣體之吸附反應。
接著,參照圖9之俯視圖,來顯示噴嘴蓋體5之其他尺寸的一範例,整流板部51中之旋轉方向上游側的外型線與原料氣體噴嘴31之伸長方向所構成之角度α為例如15°。又,整流板部51中之旋轉方向上游側的外型線與旋轉方向下游側的外型線所構成之角度θ為例如50°。整流板部51係藉由形成為如圖9所示般之扇狀,來抑制速度較大之旋轉台2的周緣側之原料氣體的擴散,而確保該原料氣體朝晶圓W之吸附。
以不會因整流板15而阻害從原料氣體噴嘴31朝向第1真空排氣口62的原料氣體之流向的方式,來將整流板部51之旋轉方向下游側的外型線與連接旋轉台2之中心O及第1真空排氣口62之旋轉方向下游側的端部之線(圖中以虛線來加以表示)所構成的角度β設定為0°以上。如上述般,朝噴嘴蓋體5流去之氮氣會通過該噴嘴蓋體5上,而防止與旋轉台2之接觸,並且朝該第1真空排氣口62流通而被排氣。
該清潔氣體噴嘴71係構成為從其前端噴出為例如ClF3(三氟化氯)等之氟系氣體的清潔氣體於旋轉台2上,並透過流量調節閥712來連接於清潔氣體供給源711。該氟系氣體係以含有氟或氟化合物來作為主成分的氣體。 該清潔氣體噴嘴71會較例如原料氣體噴嘴31要短,例如其前端部會位於從基部53之後端側進去2~3cm的附近。所噴出之清潔氣體會從旋轉台2之周緣部朝向中心部供給,以去除成膜於旋轉台2之氧化矽。
該成膜裝置1係設置有由用以進行裝置整體動作之控制的電腦所構成之控制部7,該控制部7係儲存有實行後述般成膜處理之程式。該程式係將控制訊號傳輸至裝置1之各部,以控制各部動作。具體而言,係控制來自各氣體供給源朝各氣體噴嘴之供斷、利用旋轉驅動機構22之旋轉台2的旋轉速度之控制、利用壓力調整部66之來自各真空排氣口62、63之排氣量的調整等的各動作。該程式中,係以控制該等動作以實行後述各處理的方式來組合有步驟群。該程式係從硬碟、光碟、磁光碟、記憶卡、軟碟等的記憶媒體來安裝於控制部7內。
接著,便就利用上述成膜裝置1之成膜處理的順序來加以說明。首先,在開啟閘閥18之狀態下,讓旋轉台2間接性地旋轉,並且藉由搬送機構24透過搬送口17來將例如直徑為300mm之晶圓W依序搬送至收授區域S1,而個別載置於旋轉台2之5個凹部23。接著,關閉閘閥18,並藉由真空泵65來從第1及第2真空排氣口62、63進行排氣,而將真空容器11內成為抽引的狀態。並行於此排氣,讓已停止之旋轉台2旋轉,而將所有晶圓W之溫度上升至旋轉台2之溫度,例如720℃。然後,從原料氣體噴嘴31以及反應氣體噴嘴32分別噴出為原料氣體之3DMAS氣體及為載體氣體之氮氣的混合氣體、為反應氣體之O3氣體及O2氣體。又,從分離氣體噴嘴41、42及中央部區域C噴出為分離氣體之氮氣,並且從沖淨氣體供給管28及沖淨氣體供給部29分別噴出為沖淨氣體之氮氣。如此一來,便可藉由各壓力調節部66來控制來自第1及第2真空排氣口62、63之各排氣量,並將真空容器11內調整為預設之處理壓力,而開始成膜處理。
晶圓W會交互地通過原料氣體噴嘴31下方之第1處理區域P1與反應氣體噴嘴32下方之第2處理區域P2,並讓3DMAS氣體吸附於晶圓W,接著,讓O3氣體吸附,而使得3DMAS氣體氧化而形成1層或複數層之氧化矽分子層。如此一來,便會依序層積氧化矽之分子層,而成膜出既定膜厚之矽氧化膜。又,氧化矽膜會如此般來加以形成,並且藉由加熱至600℃以上來退火,以消除氧化矽之分子排列的歪斜。
圖10係真空容器11之橫剖面圖,而與圖4同樣地,以箭頭來表示該成膜處理時的各部之氣體流向。如該等圖4、圖10所示,由於將分離氣體供給至第1處理區域P1與第2處理區域P2之間的第1及第2分離區域D1、D2,故會以阻止原料氣體與反應氣體混合的方式來將各氣體排氣。又,中央部區域C所供給之分離氣體會被供給於旋轉台2之徑向外側,以防止該中央區域區域C中的3DMAS氣體及O3氣體的混合。進一步地,由於將沖淨氣體供給至旋轉台2之下方側,故欲擴散至旋轉台2下方側的氣體便會因該沖淨氣體而被壓回第1及第2真空排氣口62、63側。
此時,如上述般,從第2分離氣體噴嘴42所供給之分離氣體雖會朝第1處理區域P1流通,該分離氣體係以朝噴嘴蓋體5流向的方式來流去,並 從第1真空排氣口62被排氣。又,供給至第1處理區域P1之剩餘的原料氣體及被捲進該第1處理區域P1之分離氣體亦會從第1真空排氣口62被排氣。在此,從中央部區域C所流通之分離氣體雖欲流向周圍方向,但由於第1處理區域P1係於中央部區域C的附近形成有突起部54,故會沿著該突起部54而朝外側流去。從而,便可抑制來自中央部區域C之分離氣體流入整流板部51與旋轉台2之間。另一方面,噴嘴蓋體5之內部會從原料氣體噴嘴31沿著旋轉台2之徑向來噴出原料氣體與載體氣體。由於可抑制來自噴嘴蓋體5之前端側的分離氣體之流入,故可如上述般,不論載體氣體之流量,而讓原料氣體遍布至噴嘴蓋體5之前端側,以進行上述般面內均勻性良好的成膜處理。
如此一來,在以既定次數來旋轉旋轉台2,並形成所欲膜厚之氧化矽膜時,來自各氣體噴嘴31、32、41、42的各氣體的供給流量、朝中央部區域C之分離氣體的供給流量便會下降。停止旋轉台2之旋轉,並開啟閘閥18,而藉由旋轉台2之間歇性的旋轉及升降銷之升降動作,來將晶圓W依序收授至搬送機構24,而搬出至真空容器11外。在搬出所有的晶圓W時,關閉閘閥18。
然後,再次讓旋轉台2連續地旋轉,而從清潔氣體噴嘴71將清潔氣體供給至旋轉台2上,以開始清潔處理。該清潔氣體會將成膜於旋轉台2之氧化矽分解,而與該分解物一同地朝排氣口被吸引。由於清潔氣體噴嘴71會藉由噴嘴蓋體5來加以覆蓋,故清潔氣體會充滿在噴嘴蓋體5內。藉此,供給有清潔氣體之區域中,由於清潔氣體會接觸氧化矽,故可確實地去除氧化矽。如此一來,在以既定次數來旋轉旋轉台2之後,便停止清潔氣體之供給,並且停止旋轉台2之旋轉,而結束清潔處理。之後再次將晶圓W搬送至真空容器11內,以進行上述成膜處理。
根據上述實施形態,係具備有原料氣體噴嘴31中從旋轉台2之旋轉方向上游側及下游側來沿著氣體噴嘴之長度方向伸出的整流板部51,該整流板部51係形成有朝向旋轉台2側突出之突起部54。該突起部54係形成於較原料氣體噴嘴31之氣體噴出孔34要靠旋轉台2的中心部側,以抑制來自中央部區域C之分離氣體流入整流板部51與旋轉台2之間。因此,便可 不論載體氣體之流量,而使得原料氣體到達至整流板部51之前端側,並抑制該旋轉台2之中心側與外周側之間的原料氣體濃度之差異。藉此,便可相對於較廣範圍之載體氣體流量而得到良好的面內均勻性。如此般,由於膜厚之面內均勻性不會因載體氣體之流量而改變,故在例如裝置啟動時或維護時之膜厚面內均勻性的調整會變得容易。
所謂良好的面內均勻性是指對直徑為300mm之晶圓的成膜處理中,在將載體氣體之流量設定為500cc/分鐘~1000cc/分鐘時的面內均勻性為±2.0%以下,更佳地為1.5%以下。另外,之後雖將流量單位「cc/分鐘」記載為「sccm」,但流量值係在程序中流量計所顯示的數值。在此,面內均勻性係在1.8mm內側的區域中,使用橢圓偏光計來測定49處之測定點的膜厚,並藉由下式(1)來求出。該49處之測定點係在以晶圓W中心為中心,而描繪出半徑為每50mm加大一次的複數同心圓時,各同心圓上的複數處。
{(最大膜厚-最小膜厚)/(平均膜厚×2)}×100...(1)
後述實施例中,係實際地使用噴嘴蓋體5而成膜出氧化矽膜,並測定此時的膜厚之面內均勻性。將該結果顯示於圖16,而確認到將載體氣體之流量設定為500sccm~1000sccm時的面內均勻性會收斂至±2.0%以下。
在此實施例中,除了無突起部54以外,係在使用與上述噴嘴蓋體相同構成之噴嘴蓋體的情況下亦進行同樣的成膜處理,而測定膜厚之面內均勻性。該情況,在載體氣體之流量為300sccm~1000sccm的範圍內,膜厚之面內均勻性會因載體氣體之流量而有較大的變動,而載體氣體之流量越多,則面內均勻性越高。關於其理由係如下般推測。在無突起部54時,分離氣體會從中央部區域C流入整流板部51與旋轉台2之間。另一方面,在如上述般改變載體氣體之流量時,原料氣體噴嘴31之長度方向的原料氣體噴出位置會改變。從而,在載體氣體之流量較多的情況,由於原料氣體噴嘴31之前端側亦會遍布原料氣體,故會使得面內均勻性提高,但在載體氣體較少的情況,由於從原料氣體噴嘴31之前端側所噴出之原料氣體較少,並且分離氣體會從中央部區域C流入,故前端側之膜厚會變小,而使得面內均勻性下降。如此般因突起部54之有無,而會導致在讓載體氣體之流量改變時的面內均勻性之改變量有較大的差異,故可理解到藉由突起部54來抑制 來自中央部區域C之分離氣體的流入是對廣範圍之載體氣體流量中提升面均勻性而言是非常有效的。
又,由於以廣泛地覆蓋第1分離區域D1與第2分離區域D2之間的處理區域的方式來較大地構成整流板部51,故從後述實施例便可明瞭,係可使得成膜速度變大。這是因為整流板部51之平面形狀較大,故可將原料氣體封存於整流板部51與旋轉台2之間,而使得Si會容易相對晶圓W而成為飽和狀態。又,藉由整流板部51變大,便可使得朝晶圓W之原料氣體的吸附時間變長,而從此觀點看來亦會使得成膜速度變大。
另一方面,後述圖15係顯示與以往相同大小的整流板部8來作為比較例。該整流板部8中旋轉方向上游側之外型線與旋轉方向下游側之外型線所構成的角度61為例如38°。由於此般之整流板部8中,平面的大小會較本發明之整流構件51要小,故原料氣體會容易從整流板部8流出,又,分離氣體容易從分離區域D1、D2或中央部區域C進入。因此,原料氣體會被分離氣體稀釋,而讓吸附於晶圓W之原料氣體的量變少,故會使得成膜速度變小。又,由於分離氣體會從中央部區域C流入,故面內均勻性之調整便有需要取得來自該中央部區域C之分離氣體的流入量、利用載體氣體之流量調整的原料氣體噴嘴31之長度方向的原料氣體之噴出量的平衡而加以進行。然而,如上述般,由於原料氣體會容易從整流板部8流出,而分離氣體會容易流入,故亦如後述實施例所記載般,只要稍微改變載體氣體之流量,便會使得面內均勻性改變。從而,由於在確保良好面內均勻性時的載體氣體流量範圍較狹窄,故會使得該面內均勻性之調整作業變得困難。
進一步地,由於該噴嘴蓋體5係構成為原料氣體噴嘴31被設置於靠近第2分離區域D2側的位置,故第1處理區域P1中會從旋轉方向上游側來供給原料氣體。從而,便可使得原料氣體與晶圓W之接觸時間變長,而可充分地讓原料氣體吸附於晶圓W。又進一步地,上述之範例中,清潔氣體噴嘴71亦設置為將清潔氣體供給至整流板部51與旋轉台2之間。因此,由於清潔氣體會充分地遍布在整流板部51內側,故可在清潔時確實地去除附著於整流板部51之薄膜。
上述中,整流板部51或突起部54之形狀或大小並不限定於上述的範例,而只要為相對直徑為300mm晶圓,在將載體氣體之流量設定為500sccm~1000sccm時,能讓晶圓之膜厚的面內均勻性收斂至±2.0%以下,更佳為±1.5%以下者即可。從而,只要為可確保此面內均勻性之構成,亦可如圖11之概略立體圖所示,於突起部54形成開口部541,而開口部541之形狀並不限於此範例。
又,如圖12所示,突起部58亦可形成於整流板部51之前端側周圍方向的一部分。例如,以旋轉台2之旋轉中心為中心,以該旋轉台2之徑向中到該突起部58之中心部為止的距離為半徑而描繪出圓。此時,該突起部58下面與該旋轉台2表面之分離距離為1.0mm~2.0mm的區域只要形成在該圓相對於橫切整流板部51之全長為50%以上的長度區域即可。這是因為即便為此般構成,仍可在將載體氣體之流量設定為500sccm~1000sccm時,讓晶圓之膜厚面內均勻性收斂至±2.0%以下,較佳地為1.5%以下。
進一步地,如圖13、圖14所示,噴嘴蓋體為了抑制該整流板部51中來自該旋轉方向上游側的分離氣體流入該整流板部51與旋轉台2之間,而除了上述突起部54之外,亦可構成為更設置有突起部511。該突起部511係形成為在較原料氣體噴嘴31要靠該旋轉方向之上游側從該整流板部51朝向旋轉台2突出。此範例中,整流板部51係以在原料氣體噴嘴31之旋轉方向上游側處,延伸至較該原料氣體噴嘴31要下方側,接著彎曲而形成水平面部的方式來形成為彎曲成L字型的形狀。又,突起部511上面係構成為位於較旋轉方向上游側的突狀部43之下面(第1頂面)44要下方側。例如,突起部511之下面572與旋轉台2表面的分離距離係設定為1.0mm~2.0mm,例如1.5mm。
藉此,來自旋轉方向上游側之氣體會藉由突起部511上面而被引導,並迅速地朝突起部511上方側流去,而可抑制朝整流板部51與旋轉台2之間的流入。但是,並非一定要讓整流板部51中較基部52要靠旋轉方向上游側區域之下面整體朝下方側突出。這是因為例如,只要在較基部52要靠旋轉方向上游側中,沿著基部52之長度方向來形成有朝下方側突出之突起 部的話,便可抑制來自旋轉方向上游側之分離氣體的流入。又,此突起部亦可形成有開口部。
進一步地,整流板部51之平面形狀亦可構成為隨著朝向旋轉台2之外周而階段性地擴張。又進一步地,清潔氣體噴嘴71亦可不設置在整流板部51下方側,而是在第1處理區域P1中與配置有整流板部51之區域相異的區域。又,此般之噴嘴蓋體5係可適用於藉由分離區域來分離出2個處理區域的裝置。從而,並不限於適用在該成膜裝置1。例如,亦可為該成膜裝置1中,在第2處理區域P2中具備有用以藉由電漿來進行改質處理的電漿產生部之裝置構成。
[實施例] (評量實驗1)
就關連於本發明而進行之成膜裝置1的模擬評量實驗來加以說明。作為評量實驗1,係就如上述實施形態般,讓旋轉台2旋轉,並且一邊從第1真空排氣口62進行排氣,一邊從原料氣體噴嘴31噴出原料氣體及載體氣體之混合氣體時,第1處理區域P1及其周圍之氣體濃度分布及質量比例分布分別進行模擬。分別將氣體噴出時之真空容器11內的壓力設定為891.1Pa(Torr),將溫度設定為620℃。該原料氣體係由3DMAS氣體所構成之含Si氣體,並將其流量設定為300sccm。又,將載體氣體之流量設定為500sccm,而關於該原料氣體供給時的旋轉台2之旋轉速度係設定為120rpm。又,噴嘴蓋體5之尺寸如上述,突起部54下面與旋轉台2表面之分離距離h2為1.5mm,在較突起部54要靠旋轉台之外周側中,整流板部51與旋轉台2表面之分離距離h3為3mm(實施例1)。關於含Si氣體之濃度分布及含Si氣體之質量比例分布係分別將其結果顯示於圖16、圖17。
又,關於使用未設有實施例1之噴嘴蓋體中突起部之構成(比較例1)、與如圖15所示,整流板部會較實施例1之噴嘴蓋體要小,而未設有突起部之構成(比較例2),亦分別同樣地對含Si氣體之濃度分布與質量比例分布進行模擬。關於比較例1之氣體濃度分布以及質量比例分布係分別將其結果顯示於圖18、19。又,關於比較例2之氣體濃度分布以及質量比例分布係分別顯示於圖20、圖21。
在觀察含Si氣體之濃度分布結果(圖16、圖18、圖20)時,實施例1之噴嘴蓋體5中,係了解了高氣體濃度之區域幾乎擴散至噴嘴蓋體5整體,而確認了高濃度之原料氣體會橫跨噴嘴蓋體5之面內整體而充滿。藉此,便理解到在面內均勻性較佳的狀態下讓原料氣體吸附,會使得膜厚之面內均勻性變高。另一方面,比較例1及比較例2中,了解到噴嘴蓋體之後端側(周緣側)方面,氣體濃度會較前端側要高,而在晶圓W徑向,氣體濃度分布會變得不均勻。這可推測是因為未有突起部,故分離氣體會從中央部區域C進入噴嘴蓋體內,並使得將原料氣體推回旋轉台2之外周側的作用作動,而形成原料氣體難以遍布於前端側(旋轉台中心側)的狀態。
又,關於含Si氣體的質量比例分布結果(圖17、圖19、圖21),在實施例1之噴嘴蓋體5中,亦了解了有較多高質量比例之區域,而沿著旋轉台2徑向之質量比較會較均勻。從該等的模擬結果,了解到藉由於整流板部51設置突起部54,而抑制來自中央區域C的分離氣體之流入,便可使得旋轉台2徑向中之原料氣體濃度一致,而確認了本發明之效果。
(評量實驗2)
使用上述實施形態之成膜裝置,改變載體氣體之流量而成膜出SiO2膜,並測定其膜厚之面內均勻性、成膜速度。作為噴嘴蓋體5係使用與實施例1相同之構成者,成膜處理條件除了載體氣體之流量以外,都與評量實驗1之條件相同,而在300sccm至1000sccm的範圍內來改變載體氣體(氮氣)之流量,以測定各面內均勻性與成膜速度。關於面內均勻性之測定方法係上述。又,使用設置有比較例1及比較例2構成的噴嘴蓋體之成膜裝置進行同樣的實驗。
關於面內均勻性的測定結果係顯示於圖22。圖中橫軸係氮氣(載體氣體)之流量,縱軸係面內均勻性。又,關於實施例1、比較例1、比較例2係分別以■、▲、◆來加以描繪。又,關於成膜速度之測定結果係顯示於圖23。圖中橫軸係氮氣(載體氣體)之流量,縱軸係成膜速度。又,關於實施例1、比較例1、比較例2係分別以□、△、◇來加以描繪。
根據圖22之面內均勻性的結果,了解了藉由使用實施例1之噴嘴蓋體,便可使得面內均勻性會較比較例1及比較例2之噴嘴蓋體要好。又,了解 到在使用實施例1之噴嘴蓋體的情況,係在將載體氣體流量設定為400sccm~1000sccm時,晶圓之膜厚的面內均勻性會收斂至±2.0%以下,在將載體氣體之流量設定為500sccm~1000sccm時,該面內均勻性會收斂至±1.5%以下。理解到可藉此來在廣範圍的載體氣體流量中確保高面內均勻性。
又,在使用比較例1之噴嘴蓋體時,了解到雖載體氣體在低流量下而面內均勻性為±4%,但隨著載體氣體之流量變多,而面內均勻性會變高。進一步地,在使用比較例2之噴嘴蓋體的情況,雖然在載體氣體之流量為450sccm附近下,面內均勻性為良好,但在除此之外的流量時,面內均勻性便會急遽地下降,而確認了面內均勻性之變化相對於載體氣體流量之變化量是急遽的。
比較例2雖為以往噴嘴蓋體之構成,但從其結果所見,亦了解到面內均勻性會較大地依存於載體氣體之流量,而難以取得來自中央部區域C之分離氣體的流量以及利用載體氣體流量之原料氣體噴嘴31的徑向原料氣體噴出量之平衡。可確保高面內均勻性之載體氣體的流量範圍會極為狹窄,且面內均勻性會對載體氣體之流量變化敏感。因此,欲以載體氣體之流量來調整面內均勻性時,便需要以10cc為單位之細微的流量調整,而確認了調整會變得繁雜。
又,根據圖23之成膜速度的結果,了解了藉由使用實施例1之噴嘴蓋體,成膜速度便會較比較例1及比較例2之噴嘴蓋體要大,以及實施例1與比較例1之噴嘴蓋體係幾乎不會改變成膜速度,以及成膜速度係載體氣體之流量越少則越好。
根據上述結果,了解到如實施例1般,藉由讓噴嘴蓋體之整流板部51之平面形狀變大,並且於其前端部設置突起部54之構成,便可在300sccm~1000sccm之較廣的載體氣體之流量範圍中,進行高面內均勻性之成膜處理,且亦可改善成膜速度。另外,理解到即便為比較例1之構成,雖只要載體氣體之流量變多,則可確保高面內均勻性,但由於在載體氣體變多時,便會使得成膜速度下降,故並非良策。
本發明係在真空容器內讓旋轉台旋轉,而使得旋轉台上之基板依序通過原料氣體之供給區域、與原料反應之反應氣體之供給區域,來進行成膜時,設置中央部區域而從真空容器內之中央部側供給分離氣體,以分離該原料氣體之供給區域與反應氣體之供給區域。另一方面,以原料氣體噴嘴中從該旋轉方向上游側及下游側沿著氣體噴嘴之長度方向伸出的方式來設置整流板部,並從該整流板部朝向旋轉台來讓突起部突出。因此,便可藉由該突起部,抑制來自該中央部區域的分離氣體流入該整流板部與旋轉台之間。雖從該原料氣體之氣體噴嘴來供給原料氣體與載體氣體之混合氣體,但由於抑制了來自該中央部區域之分離氣體的流入,故可不論載體氣體之流量,而讓原料氣體遍布至該整流板部之旋轉台中心側。因此,由於可相對於廣範圍之載體氣體流量而確保良好的面內均勻性,故會使得利用載體氣體流量的面內均勻性之調整作業變得容易。
本次所揭露之實施形態的所有要點乃為例示而不應為限制。實際上,上述實施形態可以多樣之形態來加以實現。又,上述實施形態只要不脫離添附之申請專利範圍及其主旨,亦可以各種形態來加以省略、置換、變更。本發明之範圍企圖包含有與添附之申請專利範圍相同意義及範圍內的所有變更。
本發明係基於2014年5月16日所申請之日本專利申請案第2014-102782號的優先權利益,並將該日本申請案之所有內容作為參照文獻而援用於此。

Claims (8)

  1. 一種成膜裝置,係藉由在真空容器內讓旋轉台旋轉,而使得該旋轉台上之基板依序通過原料氣體之供給區域、與原料反應之反應氣體之供給區域,來讓該原料吸附於該基板上,以使得該原料與該反應氣體反應而加以成膜之裝置,具備有:原料氣體噴嘴,係被固定設置於該旋轉台上方,且與該旋轉台之旋轉方向交叉延伸,並沿著其長度方向來形成有噴出該原料氣體與載體氣體之混合氣體之氣體噴出孔;整流板部,係在該原料氣體噴嘴中從該旋轉方向上游側及下游側沿著氣體噴嘴之長度方向來伸出;中央部區域,係為了分離該原料氣體之供給區域與該反應氣體之供給區域,而從該真空容器內之中央部側將分離氣體供給至該旋轉台之基板載置面側;突起部,係為了抑制來自該中央部區域的分離氣體流入至該整流板部與該旋轉台之間,而在較該原料氣體之氣體噴出孔要靠該旋轉台之中心部側從該整流板部朝向該旋轉台突出;以及排氣口,係用以將該真空容器內真空排氣;該原料氣體噴嘴係連接於該原料氣體的供給源,並且連接於該載體氣體之供給源。
  2. 如申請專利範圍第1項之成膜裝置,其中該原料氣體噴嘴中之氣體入口係位於該真空容器之周緣部側。
  3. 如申請專利範圍第1項之成膜裝置,其中該突起部與該旋轉台表面之分離距離係1.0mm~2.0mm。
  4. 如申請專利範圍第1項之成膜裝置,其中在以該旋轉台之旋轉中心為中心,以該旋轉台之徑向中到該突起部之中心部為止的距離為半徑而描繪出圓時,該突起部與該旋轉台表面之分離距離為1.0mm~2.0mm的區域係形成在該圓相對於橫切該整流板部之全長為50%以上的長度區域。
  5. 如申請專利範圍第1項之成膜裝置,其中在較該突起部要靠該旋轉台之外側中,該整流板部與該旋轉台表面之分離距離係2.0mm~4.0mm。
  6. 如申請專利範圍第1項之成膜裝置,其係具備有追加突起部,係為了抑制該整流板部中來自該旋轉台方向上游側之分離氣體流入該整流板部與該旋轉台之間,而在較該原料氣體噴嘴要靠該旋轉方向上游側從該整流板部朝向該旋轉台突出。
  7. 如申請專利範圍第1項之成膜裝置,其中該整流板部係構成為隨著朝向該旋轉台之外周而階段性地或連續性地擴張。
  8. 如申請專利範圍第1項之成膜裝置,其中該基板係直徑為300mm之晶圓,且構成為在將該載體氣體流量設定為500cc/分鐘~1000cc/分鐘時,以晶圓面內之49處測定點來測定晶圓膜厚時的面內均勻性會收斂至±2.0%以下。
TW104115342A 2014-05-16 2015-05-14 成膜裝置 TWI661079B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-102782 2014-05-16
JP2014102782A JP6221932B2 (ja) 2014-05-16 2014-05-16 成膜装置

Publications (2)

Publication Number Publication Date
TW201606118A TW201606118A (zh) 2016-02-16
TWI661079B true TWI661079B (zh) 2019-06-01

Family

ID=54538027

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104115342A TWI661079B (zh) 2014-05-16 2015-05-14 成膜裝置

Country Status (5)

Country Link
US (1) US10344382B2 (zh)
JP (1) JP6221932B2 (zh)
KR (1) KR101886479B1 (zh)
CN (1) CN105088190B (zh)
TW (1) TWI661079B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
JP6866121B2 (ja) * 2016-11-14 2021-04-28 日立Astemo株式会社 半導体モジュール
CN106583876A (zh) * 2017-01-23 2017-04-26 昆山思拓机器有限公司 一种模板备料转台
JP6971887B2 (ja) * 2018-03-02 2021-11-24 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7042689B2 (ja) * 2018-05-23 2022-03-28 東京エレクトロン株式会社 サセプタのドライクリーニング方法及び基板処理装置
JP2023034003A (ja) * 2021-08-30 2023-03-13 東京エレクトロン株式会社 基板に成膜を行う装置及び基板に成膜を行う方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475286B1 (en) * 1999-07-13 2002-11-05 Aixtron Aktiengesellschaft Seal means for separable closing elements, such as separable elements of chemical vapor deposition chamber and deposition reactor apparatus
US20100055316A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US20130047923A1 (en) * 2011-08-24 2013-02-28 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, and plasma generating device
US20130061804A1 (en) * 2011-09-12 2013-03-14 Tokyo Electron Limited Substrate processing apparatus and film deposition apparatus
US20140011370A1 (en) * 2012-07-06 2014-01-09 Tokyo Electron Limited Method of operating film deposition apparatus and film deposition apparatus

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus
JP2000269200A (ja) * 1999-03-18 2000-09-29 Toshiba Corp 常圧化学的気相成長装置
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2011501409A (ja) * 2007-10-10 2011-01-06 イザ,マイケル 化学蒸着反応チャンバ
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5062144B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR20130062980A (ko) * 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
JP5754763B2 (ja) * 2010-08-20 2015-07-29 株式会社Screenホールディングス 化学気相成長装置および化学気相成長方法
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
USD655257S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655260S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655259S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD654883S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655261S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654882S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5696619B2 (ja) * 2011-08-17 2015-04-08 東京エレクトロン株式会社 成膜装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
CN105917445B (zh) * 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475286B1 (en) * 1999-07-13 2002-11-05 Aixtron Aktiengesellschaft Seal means for separable closing elements, such as separable elements of chemical vapor deposition chamber and deposition reactor apparatus
US20100055316A1 (en) * 2008-09-04 2010-03-04 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
US20130047923A1 (en) * 2011-08-24 2013-02-28 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, and plasma generating device
US20130061804A1 (en) * 2011-09-12 2013-03-14 Tokyo Electron Limited Substrate processing apparatus and film deposition apparatus
US20140011370A1 (en) * 2012-07-06 2014-01-09 Tokyo Electron Limited Method of operating film deposition apparatus and film deposition apparatus

Also Published As

Publication number Publication date
JP2015220340A (ja) 2015-12-07
CN105088190B (zh) 2019-08-16
KR20150133634A (ko) 2015-11-30
US20150329964A1 (en) 2015-11-19
JP6221932B2 (ja) 2017-11-01
KR101886479B1 (ko) 2018-08-07
US10344382B2 (en) 2019-07-09
TW201606118A (zh) 2016-02-16
CN105088190A (zh) 2015-11-25

Similar Documents

Publication Publication Date Title
TWI661079B (zh) 成膜裝置
US10475641B2 (en) Substrate processing apparatus
TWI505358B (zh) 成膜裝置
JP5062144B2 (ja) ガスインジェクター
US8808456B2 (en) Film deposition apparatus and substrate process apparatus
TWI523970B (zh) 成膜裝置(一)
JP5497423B2 (ja) 成膜装置
US8951347B2 (en) Film deposition apparatus
KR101531084B1 (ko) 성막 장치
TWI613312B (zh) 基板處理方法及基板處理裝置
TW201035372A (en) Film deposition apparatus
CN102443782A (zh) 成膜装置和成膜方法
KR101917414B1 (ko) 노즐 및 이를 사용한 기판 처리 장치
CN105938796A (zh) 基板处理装置以及基板处理方法
TWI659124B (zh) 成膜裝置
TWI668323B (zh) 基板處理裝置、基板處理方法及基板保持構件
JP5403113B2 (ja) 成膜装置
KR20150111319A (ko) 진공 처리 장치
JP6680190B2 (ja) 成膜装置
US9953829B2 (en) Image processing apparatus with improved slide printout based on layout data
KR20180138152A (ko) 성막 방법, 성막 장치 및 기억 매체
US11859285B2 (en) Processing apparatus and processing method
US20220081771A1 (en) Processing apparatus and processing method
US11965246B2 (en) Deposition method and deposition apparatus
JP6339029B2 (ja) 成膜装置