TWI647735B - 使用模型化以建立與電漿系統相關的離子能量 - Google Patents

使用模型化以建立與電漿系統相關的離子能量 Download PDF

Info

Publication number
TWI647735B
TWI647735B TW103108488A TW103108488A TWI647735B TW I647735 B TWI647735 B TW I647735B TW 103108488 A TW103108488 A TW 103108488A TW 103108488 A TW103108488 A TW 103108488A TW I647735 B TWI647735 B TW I647735B
Authority
TW
Taiwan
Prior art keywords
current
model
voltage
impedance matching
generator
Prior art date
Application number
TW103108488A
Other languages
English (en)
Other versions
TW201503216A (zh
Inventor
小約翰C 微寇爾
布萊佛J 琳戴克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/184,639 external-priority patent/US9842725B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201503216A publication Critical patent/TW201503216A/zh
Application granted granted Critical
Publication of TWI647735B publication Critical patent/TWI647735B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

揭露決定離子能量的系統與方法。方法中的一者包含偵測一產生器的輸出以識別產生器輸出複數電壓與電流 (V&I)。產生器係耦合至一阻抗匹配電路且此阻抗匹配電路係耦合至一靜電夾頭(ESC)。該方法更包含自該產生器輸出複數V&I決定沿著一路徑上之一點處的投影複數V&I,此路徑係介於該阻抗匹配電路之模型的一輸出與該ESC的模型之間。利用該部件之至少一部件的模型來進行該投影複數V&I的決定步驟。該方法包含應用該投影複數V&I作為一函數之輸入以將該投影複數V&I映射至在該ESC模型處的晶圓偏壓並自該晶圓偏壓決定離子能量。

Description

使用模型化以建立與電漿系統相關的離子能量
本發明係關於使用模型化以決定與電漿系統相關的離子能量。
在一使用電漿的系統中,電漿係產生於電漿室內以在晶圓上進行各種步驟如蝕刻、清理、沈積等。電漿受到監視與控制以控制各種步驟的效能。例如,利用用以量測電漿室內之靜電夾頭偏壓的偏壓補償裝置及設置在阻抗匹配電路之輸出處用以量測射頻(RF)電壓的電壓探針來監視電漿。藉由控制供給至電漿室的RF功率量來控制電漿。
然而,使用偏壓補償裝置及電壓探針來監視並控制步驟的效能並無法提供令人滿意的結果。又,監測晶圓偏壓及RF電壓可能是昂貴又耗時的。
本發明中所述的實施例係為了解決上述問題。
本發明的實施例提供了使用模型化以決定與電漿系統相關之離子能量的裝置、方法及電腦程式。應瞭解,本發明的實施例可以許多方式實施如方法、裝置、系統、硬體或電腦可讀媒體上的方法。下面將說明數個實施例。
在某些實施例中揭露一種離子能量的決定方法。此方法包含,當一射頻(RF)產生器係藉由一阻抗匹配電路而耦合至一電漿室時,識別該射頻產生器之一輸出處的第一複數電壓與電流。該阻抗匹配電路具有耦合至該射頻產生器之該輸出的一輸入以及耦合至一RF傳輸線的一輸出。該方法更包含,基於在該阻抗匹配電路中所定義的電子元件來產生一阻抗匹配模型,此阻抗匹配模型具有一輸入與一輸出。該阻抗匹配模型的該輸入接收該第一複數電壓與電流。該阻抗匹配模型具有一或多個元件。該方法包含,使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該些元件以決定第二複數電壓與電流。該方法包含:獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量。
在不同的實施例中揭露一種決定離子能量的電漿系統。該電漿系統包含用以產生射頻(RF)訊號的一RF產生器。該RF產生器係與一電壓與電流探針相關。該電壓與電流探針係用以量測在該RF產生器之一輸出處的第一複數電壓與電流。該電漿系統更包含耦合至該RF產生器的一阻抗匹配電路及藉由一RF傳輸線而耦合至該阻抗匹配電路的一電漿室。該阻抗匹配電路具有耦合至該RF產生器之該輸出的一輸入及耦合至該RF傳輸線的一輸出。該電漿系統包含耦合至該RF產生器的一處理器。該處理器係用以識別該第一複數電壓與電流以及基於在該阻抗匹配電路中所定義的電子元件產生阻抗匹配模型。該阻抗匹配模型具有一輸入與一輸出,該阻抗匹配模型的該輸入接收該第一複數電壓與電流。該阻抗匹配模型具有一或多個元件。該處理器更用以:使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該些元件以決定第二複數電壓與電流;獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量。
揭露一種決定離子能量的電腦系統。此電腦系統包含一處理器,此處理器係用以:當一射頻(RF)產生器係藉由一阻抗匹配電路而耦合至一電漿室時,識別該射頻產生器之一輸出處的第一複數電壓與電流。該阻抗匹配電路具有耦合至該射頻產生器之該輸出的一輸入以及耦合至一RF傳輸線的一輸出。該處理器係更用以:基於在該阻抗匹配電路中所定義的電子元件來產生一阻抗匹配模型。此阻抗匹配模型具有一輸入與一輸出。該阻抗匹配模型的該輸入接收該第一複數電壓與電流。該阻抗匹配模型具有一或多個元件。該處理器係用以:使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該些元件以決定第二複數電壓與電流;獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量。該電腦系統包含耦合至該處理器的記憶體且該記憶體係用以儲存該離子能量。
上述實施例的某些優點包含:毋需將電壓探針耦合至阻抗匹配電路之輸出處且毋需使用偏壓補償裝置去量測晶圓偏壓便能決定離子能量。電壓探針及偏壓補償電路可能是昂貴的。相較之下,毋需將電壓探針耦合至阻抗匹配電路之輸出處且毋需使用偏壓補償電路便能決定離子能量。不使用電壓探針及偏壓補償電路能節省與電壓探針及偏壓補償電路相關的費用、時間及氣力。
又,電壓探針及偏壓補償電路可能會故障或無法在基板的製造、處理、清理等期間進行操作。相較之下,遵循預設準則的電壓與電流探針比電壓探針更可靠且更精準,當其與模型電路一起使用時能決定射頻(RF)電壓而RF電壓係用以決定晶圓偏壓。離子能量係基於晶圓偏壓與RF電壓所決定。利用電壓與電流探針所決定的RF電壓與晶圓偏壓所提供的離子能量比基於電壓探針所量到之電壓所決定的靜電夾頭偏壓所提供的離子能量更精準。
參考下列附圖及其詳細說明,當能明白本發明的其他態樣。
102‧‧‧方法
104‧‧‧阻抗匹配模型
106‧‧‧步驟
107‧‧‧步驟
110‧‧‧電壓與電流(VI)探針
111‧‧‧電壓與電流(VI)探針
112‧‧‧已知的負載
113‧‧‧RF傳輸線
114‧‧‧阻抗匹配電路
115‧‧‧阻抗匹配電路
119‧‧‧步驟
122‧‧‧阻抗匹配電路
123‧‧‧系統
125‧‧‧靜電夾頭(ESC)模型
126‧‧‧系統
128‧‧‧系統
130‧‧‧主機系統
131‧‧‧工作件
134‧‧‧電漿室
135‧‧‧電漿室
142‧‧‧RF棒
144‧‧‧RF帶
146‧‧‧支撐件
148‧‧‧柱
150‧‧‧纜線
151‧‧‧絕緣體
152‧‧‧纜線
153‧‧‧輸入
155‧‧‧輸入
161‧‧‧RF傳輸模型
162‧‧‧儲存硬體單元(HU)
163‧‧‧纜線模型
165‧‧‧纜線模型
168‧‧‧處理器
169‧‧‧部件
171‧‧‧系統
172‧‧‧部件
173‧‧‧部件
175‧‧‧電漿室
176‧‧‧電路模型
177‧‧‧靜電夾頭(ESC)
178‧‧‧系統
179‧‧‧上電極
180‧‧‧電路
181‧‧‧RF傳輸線
183‧‧‧上表面
185‧‧‧通訊裝置
189‧‧‧通訊裝置
189‧‧‧絕緣體
191‧‧‧纜線
192‧‧‧ESC
193‧‧‧纜線
194‧‧‧邊緣環(ER)
195‧‧‧部件
196‧‧‧加熱元件
197‧‧‧部件
198‧‧‧加熱元件
199‧‧‧RF棒
200‧‧‧系統
201‧‧‧ESC
202‧‧‧濾波器
204‧‧‧電源
206‧‧‧電源
208‧‧‧濾波器
210‧‧‧通道與帶模型
211‧‧‧柱模型
213‧‧‧數位脈衝訊號
215‧‧‧RF訊號
216‧‧‧模型
217‧‧‧系統/纜線
218‧‧‧模型
219‧‧‧系統
220‧‧‧RF產生器
221‧‧‧RF訊號
222‧‧‧參數控制器
224‧‧‧參數控制器
226‧‧‧數位訊號處理器(DSP)
228‧‧‧驅動器
230‧‧‧放大器
231‧‧‧輸出
232‧‧‧驅動與放大器系統(DAS)
233‧‧‧通訊裝置
236‧‧‧系統
238‧‧‧電壓與電流探針
250‧‧‧系統
251‧‧‧節點
253‧‧‧電容器
255‧‧‧輸入
257‧‧‧節點
259‧‧‧輸出
261‧‧‧節點
262‧‧‧工作件
263‧‧‧上表面
264‧‧‧上電極
265‧‧‧節點
269‧‧‧電容器
283‧‧‧輸出
285‧‧‧輸入
287‧‧‧RF傳輸線
293‧‧‧節點
297‧‧‧輸出
300‧‧‧電路
302‧‧‧通道與帶模型
304‧‧‧節點
310‧‧‧電路
312‧‧‧柱與ESC模型
313‧‧‧電感
316‧‧‧電容器
318‧‧‧節點
330‧‧‧系統
332‧‧‧電壓探針
334‧‧‧主機系統
336‧‧‧雜訊或訊號決定模組
340‧‧‧方法/晶圓偏壓產生器
341‧‧‧步驟
342‧‧‧步驟
343‧‧‧步驟
351‧‧‧方法
353‧‧‧路徑
355‧‧‧系統
357‧‧‧步驟
359‧‧‧步驟
361‧‧‧步驟
363‧‧‧方法
365‧‧‧步驟
367‧‧‧步驟
369‧‧‧步驟
380‧‧‧輸入HU
382‧‧‧輸出HU
384‧‧‧輸入/輸出(I/O)介面
386‧‧‧I/O介面
388‧‧‧網路介面控制器(NIC)
390‧‧‧匯流排
393‧‧‧匯流排
參考下列附圖與其說明能瞭解本發明的實施例。
圖1為根據本發明之一實施例之決定系統的方塊圖,此決定系統係用以決定阻抗匹配模型之輸出處、射頻(RF)傳輸模型之部件之輸出處及靜電夾頭(ESC)模型之輸出處的變數。
圖2為根據本發明之一實施例之決定方法的流程圖,此決定方法係用以決定RF傳輸模型之部件之輸出處的複數電壓與電流。
圖3A為根據本發明之一實施例之用以說明阻抗匹配電路之系統的方塊圖。
圖3B為根據本發明之一實施例之阻抗匹配模型的電路圖。
圖4顯示根據本發明之一實施例之用以說明RF傳輸線的系統。
圖5A為根據本發明之一實施例之用以說明RF傳輸線之電路模型的系統的方塊圖。
圖5B顯示根據本發明之一實施例之用以說明RF傳輸模型之通道與帶模型的電路。
圖5C顯示根據本發明之一實施例之用以說明通道與帶模型的電路。
圖6顯示根據本發明之一實施例之用以說明柱與ESC模型的電路。
圖7為根據本發明之一實施例之電漿系統的方塊圖,此電漿系統包含用以決定變數的濾波器。
圖8A顯示根據本發明之一實施例之用以說明能改善變數精準度之濾波器模型的系統。
圖8B顯示根據本發明之一實施例之用以說明濾波器模型的系統。
圖9為根據本發明之一實施例之系統的方塊圖,此系統使用電壓與電流探針來量測圖1之系統之RF產生器之輸出處的變數。
圖10為根據本發明之一實施例之系統的方塊圖,在此系統中電壓與電流探針及通訊裝置係位於RF產生器外部。
圖11為根據本發明之一實施例之系統的方塊圖,在此系統中使用利用圖1之系統所決定出之變數的值。
圖12A為根據本發明之一實施例的圖,此圖說明當x MHz RF產生器開啟時在圖1之系統內的節點處藉由探針所量測到的變數與利用圖2之方法所決定之變數的關聯性。
圖12B為根據本發明之一實施例之圖,此圖說明當y MHz RF產生器開啟時在圖1之系統內的節點處藉由探針所量測到的變數與利用圖2之方法所決定之變數的關聯性。
圖12C為根據本發明之一實施例之圖,此圖說明當z MHz RF產生器開啟時在圖1之系統內的節點處藉由探針所量測到的變數與利用圖2之方法所決定之變數的關聯性。
圖13為根據本發明之一實施例之方法的流程圖,此方法係用以決定在阻抗匹配模型、射頻(RF)傳輸模型或靜電夾頭(ESC)模型之模型節點處的晶圓偏壓。
圖14為根據本發明之一實施例之狀態圖,其說明了用以產生晶圓偏壓的晶圓偏壓產生器。
圖15為根據本發明之一實施例之方法的流程圖,此方法係用以決定在阻抗匹配模型與ESC模型間之路徑上之一點處的晶圓偏壓。
圖16為根據本發明之一實施例之系統的方塊圖,此系統係用以決定一模型之一節點處之晶圓偏壓。
圖17為根據本發明之一實施例之方法的流程圖,此方法係用以決定圖1之系統之模型節點處的晶圓偏壓。
圖18為根據本發明之一實施例之系統的方塊圖,此系統係用以說明利用圖13、15或17之方法來決定晶圓偏壓而非使用電壓探針來決定晶圓偏壓的優點。
圖19A顯示根據本發明之一實施例之實施例圖,其說明了當y MHz與z MHz RF產生器開啟時在圖1之電漿系統內的節點處藉由電壓探針所量測到的變數與利用圖2、13、15或17之方法針對對應模型節點輸出處所決定之變數的關聯性。
圖19B為根據本發明之一實施例之實施例圖,其說明了當x MHz與z MHz RF產生器開啟時在圖1之電漿系統內的節點處藉由電壓探針所量測到的變數與利用圖2、13、15或17之方法針對對應模型節點輸出處所決定之變數的關聯性。
圖19C為根據本發明之一實施例之實施例圖,其說明了當x與y MHz RF產生器開啟時在圖1之電漿系統內的節點處藉由電壓探針所量測到的變數與利用圖2、13、15或17之方法針對對應模型節點輸出處所決定之變數的關聯性。
圖20A為根據本發明之一實施例的圖,其係用以說明當x MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20B為根據本發明之一實施例的圖,其係用以說明當y MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20C為根據本發明之一實施例的圖,其係用以說明當z MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20D為根據本發明之一實施例的圖,其係用以說明當x與y MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20E為根據本發明之一實施例的圖,其係用以說明當x與z MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20F為根據本發明之一實施例的圖,其係用以說明當y與z MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖20G為根據本發明之一實施例的圖,其係用以說明當x、y與z MHz RF產生器開啟時下列者之間的關聯性:利用感測器設備所量測到的接線晶圓偏壓、利用圖13、15或17之方法所決定的模型偏壓、以及模型偏壓中的誤差。
圖21為根據本發明之一實施例之圖1之系統之主機系統的方塊圖。
圖22顯示用以自晶圓偏壓與峰值振幅決定離子能量的功能。
下列實施例說明了使用模型決定與電漿系統相關之離子能量的系統與方法。明顯地,可在缺乏某些或全部此些特定細節的情況下施行本發明的實施例。在其他情況下,不再詳細說明已知的處理步驟以免不必要地模糊本發明的焦點。
圖1為系統126之一實施例的方塊圖,系統126係用以決定在阻抗匹配模型104之輸出處、在RF傳輸模型161(其為RF傳輸線113的模型)之部件173之輸出如模型節點N1m處、及在靜電夾頭(ESC)模型125之輸出如模型節點N6m處的變數。變數的實例包含複數電壓(complex voltage)、複數電流(complex current)、複數電壓與電流(complex voltage and current)、複數功率(complex power)、離子能量(ion energy)、晶圓偏壓(wafer bias)等。RF傳輸線113具有輸出如節點N2。電壓與電流(VI)探針110量測在x MHz RF產生器之輸出如節點N3處的複數電壓與電流VxMHz、IxMHz及ΦxMHz如第一複數電壓與電流。應注意,VxMHz代表電壓振幅、IxMHz代表電流振幅而Φx代表VxMHz與IxMHz之間的相位。應注意,VxMHz代表電壓振幅、IxMHz代表電流振幅而Φx代表VxMHz與IxMHz之間的相位。阻抗匹配模型104具有輸出如模型節點N4m。
又,電壓與電流探針111量測在y MHz RF產生器之輸出如節點N5處的複數電壓與電流VyMHz、IyMHz及ΦyMHz。應注意VyMHz代表電壓振幅、IyMHz代表電流振幅而ΦyMHz代表VyMHz與IyMHz之間的相位。
在某些實施例中,節點為裝置的輸入、裝置的輸出或裝置內的點。下面將說明本文中所指的裝置。
在不同的實施例中,電壓振幅包含一RF訊號之一或多個射頻值的從零到峰的振幅或峰至峰的振幅或方均根(RMS)振幅。在某些實施例中,電流振幅包含一RF訊號之一或多個射頻值的零到峰的振幅或峰至峰的振幅或RMS振幅。在數個實施例中,功率振幅為電壓振幅、電流振幅與電流振幅與電壓振幅間之相位的乘積。
x MHz的實例包含2MHz、27MHz及60MHz。y MHz的實例包含2MHz、27MHz及60MHz。x MHz係不同於y MHz。例如,當x MHz為2MHz時,y MHz為27MHz或60MHz。當x MHz為27MHz時,y MHz為60MHz。
每一電壓與電流探針110與111的實例包含遵循預設準則的電壓與電流探針。預設準則的一實例包含針對感測器發展標準之協會所依循的標準。預設準則的另一實例包含國家標準與技術局(NIST)的標準。如所示,根據NIST標準校正電壓與電流探針110或111。在此圖示中,使電壓與電流探針110或111與開路電路、短路電路或已知的負載耦合,以校正電壓與電流探針110或111而使其符合NIST標準。先使電壓與電流探針110或111與開路電路耦合,然後使其與短路電路耦合,然後再使其與已知的負載耦合,以基於NIST標準校正電壓與電流探針。使電壓與電流探針110或111依任何順序與已知的負載、開路電路及短路電路耦合,以根據NIST標準校正電壓與電流探針110或111。已知的負載的實例包含50ohm負載、100ohm負載、200ohm負載、靜電負載、直流(DC)負載、電阻器等。如圖所示,每一電壓與電流探針110與111係根據NIST-可追蹤的標準加以校正。
電壓與電流探針110係耦合至x MHz RF產生器的輸出如節點N3。x MHz RF產生器的輸出如節點N3係藉由纜線150而耦合至阻抗匹配電路114的輸入153。又,電壓與電流探針111係耦合至y MHz RF產生器的輸出如節點 N5。y MHz RF產生器的輸出如節點N5係藉由纜線152而耦合至阻抗匹配電路114的另一輸入155。
阻抗匹配電路114的輸出如節點N4係耦合至RF傳輸線113的輸入。RF傳輸線113包含部件169與另一部件195。部件169的輸入為RF傳輸線113的輸入。部件169的輸出如節點N1係耦合至部件195的輸入。部件195的輸出如節點N2係耦合至電漿室175。部件195的輸出為RF傳輸線113的輸出。部件169的實例包含RF柱(RF cylinder)與RF帶(RF strap)。RF柱係耦合至RF帶。部件195的實例包含用以支撐電漿室175的RF棒及/或支撐件如柱等。
電漿室175包含靜電夾頭(ESC)177、上電極179及其他部件(未顯示)如圍繞上電極179的上介電環、圍繞上介電環的上電極延伸件、圍繞ESC 177之下電極的下介電環、圍繞下介電環的下電極延伸件、上電漿排除區(PEZ)環、下PEZ環等。上電極179係面對ESC 177並與其相望。工作件131如半導體晶圓等在ESC 177的上表面183上受到其支撐。上表面183包含ESC 177的輸出N6。工作件131係位於輸出N6上。在製造期間於工作件131上進行各種步驟如化學氣相沈積、清理、沈積、濺鍍、蝕刻、離子值入、光阻剝除等。在工作件131上建構積體電路如特殊應用積體電路(ASIC)、可程式化邏輯裝置(PLD)等,且積體電路係用於各種電子裝置如手機、平板、智慧型手機、電腦、筆記型電腦、網路設備等中。下電極與上電極179的每一者係由金屬如鋁、鋁合金、銅等所製成。
在一實施例中,上電極179包含耦合至中央氣體饋送件(未顯示)的孔。中央氣體饋送件自氣體供應源(未顯示)接收一或多種處理氣體。處理氣體的實例包含含氧氣體如O2。處理氣體的其他實例包含含氟氣體如四氟甲烷(CF4)、 六氟化硫(SF6)、六氟乙烷(C2F6)等。上電極179係接地。ESC 177係藉由阻抗匹配電路114而耦合至x MHz RF產生器與y MHz RF產生器。
當處理氣體被供給至上電極179與ESC 177之間且當x MHz RF產生器及/或y MHz RF產生器藉由阻抗匹配電路114與RF傳輸線113將RF訊號供給給ESC 177時,處理氣體會被點燃而在電漿室175內產生電漿。
當x MHz RF產生器產生RF訊號並藉由節點N3、阻抗匹配電路114及RF傳輸線113將RF訊號提供予ESC 177且當y MHz產生器產生RF訊號並藉由節點N5、阻抗匹配電路114及RF傳輸線113將RF訊號提供給ESC 177時,電壓與電流探針110量測節點N3處的複數電壓與電流而電壓與電流探針111量測節點N5處的複數電壓與電流。
自對應的電壓與電流探針110與111藉由對應的通訊裝置185與189將電壓與電流探針110與111所量測到的複數電壓與電流提供予主機系統130的儲存硬體單元(HU)162以供儲存。例如,藉由通訊裝置185及纜線191將電壓與電流探針110所量測到的複數電壓與電流提供予主機系統130並藉由通訊裝置189及纜線193將電壓與電流探針111所量測到的複數電壓與電流提供予主機系統130。通訊裝置的實例包含能將數據轉換為乙太封包並將乙太封包轉換為數據的乙太裝置、控制自動化技術的乙太網路裝置(EtherCAT)、以串列方式傳輸數據的串列式介面裝置、以平行方式傳輸數據的平行介面裝置、通用串列匯流排(USB)介面裝置等。
主機系統130的實例包含電腦如桌上型電腦、筆記型電腦、平板電腦等。如所示,主機系統130包含處理器與儲存HU 162。在本文中所用到的處理器可以是中央處理單元(CPU)、微處理器、特殊應用積體電路(ASIC)、可程式化之邏輯裝置(PLD)等。儲存HU的實例包含唯讀記憶體(ROM)、隨機存取記 憶體(RAM)或其組合。儲存HU可以是快閃記憶體、儲存碟的冗餘陣列(RAID)、硬碟等。
阻抗匹配模型104係儲存於儲存HU 162內。阻抗匹配模型104與阻抗匹配電路114具有類似的特性,如電容、電感、複數功率、複數電壓與電流等。例如,阻抗匹配模型104具有與阻抗匹配電路114內一樣數目的電容器及/或電感,且在阻抗匹配模型104內的電容器及/或電感係以在阻抗匹配電路114內相同的方式如串聯、並聯等方式彼此連接。為了說明,當阻抗匹配電路114包含與一電感串聯耦合的一電容器時,阻抗匹配模型104亦包含與一電感串聯耦合的一電容器。
例如,阻抗匹配電路114包含一或多個電子元件且阻抗匹配模型104包含阻抗匹配電路114的一設計如電腦生成的模型。電腦生成的模型係由處理器基於使用者藉由輸入硬體單元所輸入的輸入訊號所生成。輸入訊號包含和模型中所包含之電子元件如電容器、電感等相關的訊號以及和此些電子元件彼此耦合的方式如串聯、並聯相關的訊號。如另一實例,阻抗匹配電路114包含硬體電子元件及電子元件之間的硬體連接而阻抗匹配模型104包含代表硬體電子元件及硬體連接的軟體。如更另一實例,阻抗匹配模型104係利用軟體程式所設計而阻抗匹配電路114係製作於印刷電路板上。在本文中所用的電子元件可包含電阻器、電容器、電感、電阻器之間的連接、電感之間的連接、電容器之間的連接及/或電阻器、電感及電容之組合之間的連接。
類似地,纜線模型163與纜線150具有類似的特性,纜線模型165與纜線152具有類似的特性。例如,纜線模型163的電感係與纜線150的電感相同。如另一實例,纜線模型163為纜線150的電腦生成模型而纜線模型165為纜線152的電腦生成模型。
類似地,RF傳輸模型161與RF傳輸線113具有類似的特性。例如,RF傳輸模型161和RF傳輸線113內具有相同數目的電阻器、電容器及/或電感,RF傳輸模型161之電阻器、電容器及/或電感彼此間連接的方式如串聯、並聯等係與RF傳輸線113內的連接方式相同。為了更進一步地說明,當RF傳輸線113包含與電感並聯耦合的電容器時,RF傳輸模型161亦包含與電感並聯耦合的電容器。如更另一實例,RF傳輸線113包含一或多個電子元件而RF傳輸模型161包含RF傳輸線113的一設計如電腦生成的模型。
在某些實施例中,RF傳輸模型161為電腦生成的阻抗轉換,其涉及元件如電容器、電感、電阻器、其組合等之特性如電容值、電阻值、電感值的計算以及元件間之連接如串聯、並聯等的決定。
基於藉由纜線191自電壓與電流探針110所接收到的複數電壓與電流以及在阻抗匹配模型104內之元件如電感、電容等的特性如電容值、電感值等,主機系統130的處理器能計算阻抗匹配模型104之輸出如模型節點N4m處的複數電壓與電流V、I及Φ如第二複數電壓與電流。模型節點N4m處的複數電壓與電流係儲存在主機系統130的儲存HU 162及/或另一儲存HU如光碟、快閃記憶體中。複數V、I及Φ包含電壓振幅V、電流振幅I及電壓與電流之間的相位Φ。
阻抗匹配模型104的輸出係耦合至儲存在儲存硬體單元162中之RF傳輸模型161的輸入。阻抗匹配模型104亦具有一輸入如節點N3m,其係用來接收在節點N3處所量測到的複數電壓與電流。
RF傳輸模型161包含部件173、另一部件197及藉由ESC模型125耦合至模型節點N6m的輸出N2m。ESC模型125為ESC 177的模型。例如, ESC模型125的特性係類似於ESC 177的特性。例如,ESC模型125與ESC 177具有相同的電感值、電容值、電阻值或其組合。
部件173的輸入為RF傳輸模型161的輸入。部件173的輸出係耦合至部件197的輸入。部件173的特性係類似於部件169的特性,部件197的特性係類似於部件195的特性。
基於在模型節點N4m處所量測到的複數電壓與電流,主機系統130的處理器能計算在RF傳輸模型161之部件173之輸出如模型節點N1m處的複數電壓與電流V、I與Φ如第三複數電壓與電流。在模型節點N1m處所決定的複數電壓與電流係儲存在主機系統130的儲存HU 162及/或另一儲存HU如光碟、快閃記憶體等中。
在數個實施例中,除了決定第三複數電壓與電流之外,主機系統130的處理器可基於阻抗匹配模型104之輸出處的複數電壓與電流以及介於RF傳輸模型161之輸入與部件173內之點之間的元件的特性來計算部件173內之點如一節點處的複數電壓與電流如中間複數電壓與電流V、I及Φ,或者以此中間複數電壓與電流來取代決定第三複數電壓與電流。
在不同的實施例中,除了決定第三複數電壓與電流之外,主機系統130的處理器可基於阻抗匹配模型104之輸出處的複數電壓與電流以及介於RF傳輸模型161之輸入與部件197內之點之元件的特性來計算在部件197內之點如一節點處的複數電壓與電流如中間複數電壓與電流V、I及Φ,或者以此中間複數電壓與電流來取代決定第三複數電壓與電流。
應注意在某些實施例中,在阻抗匹配模型104之輸出處的複數電壓與電流係基於x MHz RF產生器之輸出處的複數電壓與電流、纜線模型163的元件特性及阻抗匹配模型104的特性所計算。
更應注意,雖然顯示兩個產生器耦合至一阻抗匹配電路114,但在一實施例中,任何數目的RF產生器如單一個產生器、三個產生器等可藉由一阻抗匹配電路耦合至電漿室175。例如,一個2MHz產生器、一個27MHz產生器及一個60MHz產生器可藉由二阻抗匹配電路而耦合至電漿室175。例如,雖然在上述的實施例中使用在節點N3處所量測到的複數電壓與電流,但在不同的實施例中,上述的實施例亦可使用在節點N5處所量測到的複數電壓與電流。
圖2為用以決定RF傳輸模型部件173(圖1)之輸出處之複數電壓與電流之方法102之一實施例的流程圖。方法102係藉由主機系統130的處理器(圖1)所執行。在步驟106中,自儲存HU 162(圖1)之內辨識出複數電壓與電流如在節點N3處所量測到的第一複數電壓與電流。例如,決定第一複數電壓與電流係自電壓與電流探針110(圖1)所接收。如另一實例,基於儲存在儲存HU 162(圖1)內之電壓與電流探針110的識別資料,決定與此識別資料相關的第一複數電壓與電流。
又,在步驟107中,基於阻抗匹配電路114(圖1)的電子元件來產生阻抗匹配模型104(圖1)。例如,使用者藉由與主機系統130耦合的輸入硬體單元,將阻抗匹配電路114之電子元件間的連接及電子元件的特性提供予主機系統130的處理器。接收到連接及特性之後,處理器產生和阻抗匹配電路114之電子元件具有相同特性的元件並在元件之間產生和電子元件間之連接相同的連接。
阻抗匹配模型163的輸入如節點N3m接收第一複數電壓與電流。例如,主機系統130的處理器自儲存HU 162存取如讀取第一複數電壓與電流並將第一複數電壓與電流提供予阻抗匹配模型104的輸入以處理該第一複數電壓與電流。
在步驟116中,第一複數電壓與電流自阻抗匹配模型104的輸入如節點N3m(圖1)傳輸經過阻抗匹配模型104(圖1)的一或多個元件而到達阻抗匹配模型104的輸出如節點N4m(圖1)以決定在阻抗匹配模型104之輸出處的第二複數電壓與電流。例如,參考圖3B,當2MHz RF產生器開啟如操作、受到供電、及耦合至裝置如電漿系統126之阻抗匹配電路114時,基於電容器253的電容值、基於電容器C5的電容值並基於在輸入255處所接收到的第一複數電壓與電流來決定在節點251如中間節點處之複數電壓與電流Vx1、Ix1及Φx1如包含了電壓振幅Vx1、電流振幅Ix1及複數電壓與電流之間的相位Φx1的中間複數電壓與電流。又,節點257處的複數電壓與電流Vx2、Ix2及Φx2係基於複數電壓與電流Vx1、Ix1及Φx1並基於電感L3的電感值所決定。複數電壓與電流Vx2、Ix2及Φx2包含電壓振幅Vx2、電流振幅Ix2及電壓與電流間的相位Φx2。當27MHz RF產生器及60MHz RF產生器關閉如不操作、未供電、與阻抗匹配電路114去耦合時,決定複數電壓與電流V2、I2及Φ2為輸出259處的第二複數電壓與電流,輸出259為阻抗匹配模型104(圖1)之輸出如模型節點N4m(圖1)的實例。複數電壓與電流V2、I2及Φ2係基於複數電壓與電流Vx2、Ix2及Φx2以及電感L2的電感值所決定。複數電壓與電流V2、I2及Φ2包含電壓振幅V2、電流振幅I2及電壓與電流之間的相位Φ2。當27MHz RF產生器及60MHz RF產生器關閉如不操作、未供電、與阻抗匹配電路114去耦合時,決定複數電壓與電流V2、I2及Φ2為輸出259處的第二複數電壓與電流,輸出259為阻抗匹配模型104(圖1)之輸出如模型節點N4m(圖1)的實例。複數電壓與電流V2、I2及Φ2係基於複數電壓與電流Vx2、Ix2及Φx2以及電感L2的電感值所決定。複數電壓與電流V2、I2及Φ2包含電壓振幅V2、電流振幅I2及電壓與電流之間的相位Φ2。
類似地,當27MHz RF產生器開啟而2MHz與60MHz RF產生器關閉時,基於在節點261處所接收到的複數電壓與電流以及電感LPF2、電容器C3、電容器C4與電感L2的特性來決定在輸出259處的複數電壓與電流V27、I27及Φ27。複數電壓與電流V27、I27及Φ27包含電壓振幅V27、電流振幅I27及電壓與電流之間的相位Φ27。在節點261處所接收到的複數電壓與電流係與在節點N5(圖1)處所量測到的複數電壓與電流相同。當2MHz與27MHz RF產生器兩者皆開啟而60MHz RF產生器關閉時,複數電壓與電流V2、I2、Φ2、V27、I27及Φ27為第二複數電壓與電流的實例。又,類似地,當60MHz RF產生器開啟而2MHz與27MHz RF產生器兩者關閉時,基於在節點265處所接收到的複數電壓與電流以及電感LPF1、電容器C1、電容器C2、電感L4、電容器269及電感L1的特性來決定在輸出259處的複數電壓與電流V60、I60及Φ60。複數電壓與電流V60、I60及Φ60包含電壓振幅V60、電流振幅I60及電壓與電流之間的相位Φ60。當2MHz、27MHz及60MHz RF產生器皆開啟時,複數電壓與電流V2、I2、Φ2、V27、I27、Φ27、V60、I60及Φ60為第二複數電壓與電流的實例。
在步驟117中,RF傳輸模型161(圖1)係基於RF傳輸線113(圖1)的電子元件所產生。例如,使用者藉由與主機系統130耦合的輸入裝置,將RF傳輸線113之電子元件間的連接以及電子元件的特性提供予主機系統130的處理器。接收到連接及特性之後,處理器產生和RF傳輸線113之電子元件具有相同特性的元件並在元件之間產生和電子元件間之連接相同的連接。
在步驟119中,第二複數電壓與電流自RF傳輸模型113之輸入傳輸通過RF傳輸模型部件173的一或多個元件而到達RF傳輸模型部件173之輸出如模型節點N1m(圖1)以決定在RF傳輸模型部件173處的第三複數電壓與 電流。例如,參考圖5B,當2MHz RF產生器開啟而27MHz與60MHz RF產生器皆關閉時,基於電感值L通道的電感值、基於電容值C通道的電容值並基於複數電壓與電流V2、I2及Φ2(圖3B)來決定在節點293如中間節點處的複數電壓與電流Vx4、Ix4及Φx4如中間複數電壓與電流,其中複數電壓與電流V2、I2及Φ2(圖3B)為第二複數電壓與電流的實例。應注意,L通道為RF通道之電腦生成模型的電感值而C通道為RF通道模型的電容值。又,在通道與帶模型210之輸出297處的複數電壓與電流V21、I21及Φ21係基於複數電壓與電流Vx4、Ix4及Φx4並基於電感值L的電感值所決定。輸出297為部件173(圖1)之輸出如模型節點N1m(圖1)的實例。應注意,L為RF帶之電腦生成模型的電感值。當2MHz RF產生器開啟而27MHz與60MHz RF產生器皆關閉時,決定複數電壓與電流V21、I21及Φ21為輸出297處的第三複數電壓與電流。
類似地,當27MHz RF產生器開啟而2MHz與60MHz RF產生器關閉時,基於在輸出259處的複數電壓與電流V27、I27及Φ27(圖3B)以及電感值L通道、電容值C通道及電感值L的特性來決定在輸出297處的複數電壓與電流V271、I271及Φ271。當2MHz與27MHz RF產生器兩者皆開啟而60MHz RF產生器關閉時,複數電壓與電流V21、I21、Φ21、V271、I271及Φ271為第三複數電壓與電流的實例。
又,類似地,當60MHz RF產生器受到供電而2MHz與27MHz RF產生器未受供電時,基於在節點259處所接收到的複數電壓與電流V60、I60及Φ60(圖3B)以及電感值L通道、電容值C通道及電感值L的特性來決定在輸出297處的複數電壓與電流V601、I601及Φ601。當2MHz、27MHz及60MHz RF產生器皆開啟時,複數電壓及電流V21、I21、Φ21、V271、I271、Φ271、V601、I601及Φ601為第三複數電壓與電流的實例。方法102在步驟119後結束。
圖3A為用以說明阻抗匹配電路122之系統123之一實施例的方塊圖。阻抗匹配電路122為阻抗匹配電路114(圖1)的實例。阻抗匹配電路122包含電子元件之間的串聯連接及/或電子元件之間的並聯連接。
圖3B為阻抗匹配模型172之一實施例的電路圖。阻抗匹配模型172為阻抗匹配模型104(圖1)的實例。如所示,阻抗匹配模型172包含具有電容值C1至C9的電阻器以及電感值LPF1、LPF2與L1至L4的電感。應注意,在圖3B中電感及/或電阻器彼此耦合的方式只是實例。例如,圖3B中所示之電感及/或電阻器可以串聯及/或並聯的方式彼此耦合。又,在某些實施例中,阻抗匹配模型172包含與圖3B中不同數目的電阻器及/或不同數目的電感。
圖4顯示用以說明RF傳輸線181之系統178的一實施例,RF傳輸線181為RF傳輸線113(圖1)的一實例。RF傳輸線181包含柱148例如一通道。在柱148的中空部中有絕緣體151及RF棒142。柱148與RF棒142的組合為RF傳輸線113(圖1)之部件169(圖1)的實例。RF傳輸線181係藉由螺栓B1、B2、B3與B4固定至阻抗匹配電路114。在一實施例中,RF傳輸線181係藉由任何數目的螺栓固定至阻抗匹配電路114。在某些實施例中,除了螺栓外,可使用任何其他形式的連結件如黏著劑、螺絲等將RF傳輸線181固定至阻抗匹配電路114,或者,取代螺栓。
RF傳輸棒142係與阻抗匹配電路114的輸出耦合。又,RF帶144(又被稱為RF匙)係與RF棒142及RF棒199耦合,其一部件係位於支撐件146如柱狀物內。包含RF棒199的支撐件146為部件195(圖1)的實例。在一實施例中,柱148、RF棒142、RF帶144、支撐件146及RF棒199的組合形成了RF傳輸線181,其為RF傳輸線113(圖1)的實例。支撐件146為電漿室提供支撐。支撐件146係連結至電漿室的ESC 177。來自x MHz產生器的RF訊號藉由 纜線150、阻抗匹配電路114、RF棒142、RF帶144及RF棒199而被提供至ESC 177。
在一實施例中,ESC 177包含加熱元件及在加熱元件上部上的電極。在一實施例中,ESC 177包含加熱元件及下電極。在一實施例中,ESC 177包含下電極及加熱元件如嵌在形成於下電極內之孔洞內的線圈等。在某些實施例中,電極係由金屬如鋁、銅等所製成。應注意,RF傳輸線181將RF訊號供給至ESC 177的下電極。
圖5A為用以說明RF傳輸線113(圖1)之電路模型176之系統171之一實施例的方塊圖。例如,電路模型176包含電感及/或電阻器、電感之間的連接、電阻器之間的連接及/或電感與電阻器之間的連接。連接的實例包含串聯及/或並聯連接。電路模型176為RF傳輸模型161(圖1)的實例。
圖5B顯示用以說明通道與帶模型210之電路180之一實施例,通道與帶模型210為RF傳輸模型161(圖1)之部件173(圖1)的實例。電路180包含阻抗匹配模型172及通道與帶模型210。通道與帶模型210包含電感值L通道與L及電容值C通道。應注意,電感值L通道代表柱148(圖4)與RF棒142的電感值,電容值C通道代表柱148與RF棒142的電容值。又,電感值L代表RF帶144(圖4)的電感值。
在一實施例中,通道與帶模型210包含任何數目的電感及/或任何數目的電阻器。在此實施例中,通道與帶模型210包含將電容器耦合至另一電容器、將電容器耦合至電感及/或將電感耦合至另一電感的任何方式如串聯、並聯等。
圖5C顯示用以說明通道與帶模型302之電路300的一實施例,通道與帶模型302為RF傳輸模型161(圖1)之部件173(圖1)的實例。通道與帶 模型302係藉由輸出259而耦合至阻抗匹配模型172。通道與帶模型302包含具有20奈亨利(nH)電感值的電感及具有15微微法拉(pF)、31pF、15.5pF與18.5pF電容值的電阻器。通道與帶模型302係藉由節點304而耦合至RF柱,RF柱係耦合至ESC 177(圖1)。RF柱為部件195(圖1)的實例。
應注意,在某些實施例中,通道與帶模型302之電感與電阻器具有其他值。例如,20nH電感具有介於15至20nH或介於20至25nH的電感值。如另一實例,通道與帶模型302的兩或更多電感具有不同的電感值。如更另一實例,15pF電容器具有介於8pF至25pF的電容值,31pF電容器具有介於15pF至45pF的電容值,15.5pF電容器具有介於9pF至20pF的電容值,18.5pF電容器具有介於10pF至27pF的電容值。
在不同的實施例中,任何數目的電感可被包含於通道與帶模型302中,任何數目的電阻器可被包含於通道與帶模型302中。
圖6顯示用以說明柱與ESC模型312之電路310之一實施例,柱與ESC模型312為電感314與電容器316的組合。柱與ESC模型312包含柱模型與ESC模型,ESC模型為ESC模型125(圖1)的實例。柱模型為RF傳輸模型161(圖1)之部件197(圖1)的實例。柱與ESC模型312和部件195與ESC 177(圖1)具有類似的特性。例如,柱與ESC模型312具有和部件195與ESC 177之組合相同的電阻值。如另一實例,柱與ESC模型312具有和部件195與ESC 177之組合相同的電感值。如更另一實例,柱與ESC模型312具有和部件195與ESC 177之組合相同的電容值。如更另一實例,柱與ESC模型312具有和部件195與ESC 177之組合相同的電感值、電阻值、電容值或其組合。
柱與ESC模型312係藉由節點318而耦合至通道與帶模型302。節點318為模型節點N1m(圖1)的實例。
應注意,在某些實施例中,在柱與ESC模型312中使用電感值非44奈亨利(nH)的電感。例如,使用電感值介於35nH至43.9nH或介於45.1nH至55nH的電感。在不同的實施例中,使用電容值非550pF的電容器。例如,使用電容值介於250至550pF或介於550至600pF的電容器來取代550pF的電容器。
主機系統130的處理器(圖1)計算組合阻抗如模型172、通道與帶模型302及柱與ESC模型312之組合的總阻抗。藉由主機系統130的處理器使用組合阻抗及在模型節點318處所決定之複數電壓與電流作為輸入,以計算節點N6m處的複數電壓及阻抗。應注意,柱與ESC模型312的輸出為模型節點N6m。
圖7為用以決定變數之系統200之一實施例的方塊圖。系統200包含電漿室135,電漿室135更包含ESC 201並具有輸入285。電漿室135為電漿室175(圖1)的實例,ESC 201為ESC 177(圖1)的實例。ESC 201包含加熱元件198。又,ESC 201受到邊緣環(ER)194的圍繞。ER 194包含加熱元件196。在一實施例中,ER 194促進均勻的蝕刻率並減少受到ESC 201支撐之工作件131之邊緣附近的蝕刻率漂移。
電源206藉由濾波器208將功率提供至加熱元件196以加熱加熱元件196,電源204藉由濾波器202將功率提供至加熱元件198以加熱加熱元件198。在一實施例中,單一電源將功率提供至加熱元件196與198兩者。濾波器208濾除自電源206所接收之功率訊號的預定頻率,濾波器202濾除自電源204所接收之功率訊號的預定頻率。
加熱元件198被接收自電源204的功率訊號加熱以將ESC 201的電極維持在期望的溫度,藉此更進一步地將電漿室135內之環境維持在期望的 溫度。又,加熱元件196被接收自電源206的功率訊號加熱以將ER 194維持在期望的溫度,藉此更進一步地將電漿室135內之環境維持在期望的溫度。
應注意,在一實施例中,ER 194與ESC 201可包含任何數目的加熱元件以及任何類型的加熱元件。例如,ESC 201可包含感應式的加熱元件或金屬板。在一實施例中,ESC 201與ER 194的每一者包含一或多個冷卻元件如一或多個讓冷水等得以通過的管子,以將電漿室135維持在期望的溫度。
更應注意,在一實施例中,系統200包含任何數目的濾波器。例如,電源204與206係藉由單一濾波器而耦合至ESC 201與ER 194。
圖8A顯示用以說明濾波器202與208(圖7)之系統217之一實施例,濾波器202與208(圖7)能改善變數的精準度。系統217包含藉由柱模型211而耦合至模型216的通道與帶模型210,模型216包含電阻器及/或電感及濾波器202與208之間的連接。模型216係儲存於儲存HU 162(圖1)及/或另一儲存HU內。模型216的電阻器及/或電感係以例如並聯、串聯或其組合等方式彼此耦合。模型216代表濾波器202與208的電容值及/或電感值。
又,系統217包含柱模型211,柱模型211為RF棒199(圖4)與支撐件146(圖4)的電腦生成模型。柱模型211和RF棒199與支撐件146的電子元件具有類似的特性。柱模型211包含一或多個電容器、一或多個電感、電感之間的連接、電容器之間的連接及/或電容器與電感之組合間的連接。
主機系統130的處理器(圖1)計算組合阻抗如模型216、通道與帶模型210及柱模型211的總阻抗。組合阻抗提供節點N2m處的複數電壓與阻抗。由於在決定節點N2m處的變數時包含了模型216與通道與帶模型210,改善了變數的精準度。應注意,模型216的輸出為模型節點N2m。
圖8B顯示用以說明濾波器202與208(圖7)之模型之系統219的一實施例,濾波器202與208(圖7)的模型能改善變數的精準度。系統219包含通道與帶模型210及以並聯方式和通道與帶模型210耦合的模型218。模型218為模型216(圖8A)的實例。模型218包含電感值L濾波器,電感值L濾波器代表濾波器202與208的組合電感值。模型218更包含電容值C濾波器,電容值C濾波器代表濾波器202與208的組合電容值。
圖9為系統236之一實施例的方塊圖,系統236使用電壓與電流探針238量測RF產生器220之輸出231處的變數。輸出231為節點N3(圖1)或節點N5(圖1)的實例。RF產生器220為x MHz產生器或y MHz產生器(圖1)的實例。主機系統130產生具有兩或更多狀態的數位脈衝訊號213並將其提供予數位訊號處理器(DSP)226。在一實施例中,數位脈衝訊號213為電晶體-電晶體邏輯(TTL)訊號。狀態的實例包含開狀態與關狀態、具有數位值1的狀態與具有數位值0的狀態、高狀態與低狀態等。
在另一實施例中,使用時脈振盪器如石英晶體振盪器等取代主機系統130來產生類比時脈訊號,類比時脈訊號可被類比轉數位轉換器轉換為類似於數位脈衝訊號213的數位訊號。
數位脈衝訊號213被發送至DSP 226。DSP 226接收數位脈衝訊號213並識別數位脈衝訊號213的狀態。例如,DSP 226判斷出數位脈衝訊號213在第一組時間期間內具有第一振幅如1的數值、高狀態振幅等且在第二組時間期間內具有第二振幅如0的數值、低狀態振幅等。DSP 226判斷出數位脈衝訊號213在第一組時間期間內具有狀態S1且在第二組時間期間內具有狀態S0。狀態S0的實例包含低狀態、具有數值0的狀態及關狀態。狀態S1的實例包含高狀態、具有數值1的狀態及開狀態。如更另一實例,DSP 226將數位脈衝訊號 213的振幅與預存值比較以判斷出在第一組時間期間內數位脈衝訊號213的振幅係大於預存值且在第二組時間期間內數位脈衝訊號213之狀態S0期間內的振幅並非大於預存值。在使用時脈振盪器的實施例中,DSP 226自時脈振盪器接收類比時脈訊號、將類比訊號轉換為數位形式、然後識別兩個狀態S0與S1。
當一狀態被識別為S1時,DSP 226將功率值P1及/或頻率值F1提供至參數控制器222。又,當該狀態被識別為S0時,DSP 226將功率值P0及/或頻率值F0提供至參數控制器224。用來調變頻率之參數控制器的實例包含自動頻率調諧器(AFT)。
應注意,參數控制器222、參數控制器224及DSP 226皆為控制系統187的一部分。例如,參數控制器222與參數控制器224為可由DSP 226執行之電腦程式之一部分的邏輯方塊如調諧迴圈等。在某些實施例中,電腦程式係由非暫態電腦可讀媒體如儲存HU體現。
在一實施例中,使用控制器如硬體控制器、ASIC、PLD等來取代參數控制器。例如,使用一硬體控制器來取代參數控制器222並使用另一硬體控制器來取代參數控制器224。
在接收到功率值P1及/或頻率值F1後,參數控制器222將功率值P1及/或頻率值F1提供至驅動與放大器系統(DAS)232的驅動器228。驅動器的實例包含功率驅動器、電流驅動器、電壓驅動器、電晶體等。驅動器228產生具有功率值P1及/或頻率值F1的RF訊號並將此RF訊號提供至DAS 232的放大器230。
在一實施例中,驅動器228產生具有驅動功率值及/或驅動頻率值的RF訊號,驅動功率值為功率值P1的函數而驅動頻率值為頻率值F1的函數。 例如,驅動功率值係介於功率值P1的數瓦如1至5瓦內等而驅動頻率值係介於頻率值F1的數Hz內如1至5Hz內。
放大器230放大具有功率值P1及/或頻率值F1的RF訊號並產生對應自驅動器228所接收之RF訊號的RF訊號215。例如,RF訊號215比功率值P1具有更高數量的功率。如另一實例,RF訊號215與功率值P1具有相同數量的功率。RF訊號215係藉由纜線217與阻抗匹配電路114而被傳輸至ESC 177(圖1)。
纜線217為纜線150或纜線152(圖1)的實例。例如,當RF產生器220為x MHz RF產生器(圖1)之實例時,纜線217為纜線150的實例,當RF產生器220為y MHz RF產生器(圖1)之實例時,纜線217為纜線152的實例。
當功率值P1及/或頻率值F1藉由參數控制器222而被提供至DAS 232且RF訊號215被產生時,電壓與電流探針238量測耦合至纜線217之輸出231處的變數的值。電壓與電流探針238為電壓與電流探針110或電壓與電流探針111(圖1)的實例。電壓與電流探針238藉由通訊裝置233將變數的值發送至主機系統130,讓主機系統130得以執行本文中所述之方法102(圖3)及方法340、351及363(圖13、15及17)。通訊裝置233為通訊裝置185或189(圖1)的實例。通訊裝置233應用一協定如乙太網(Ethernet)、乙太網控制自動化技術(EtherCAT)、通用串列匯流排(USB)、串列、並列、封包、解封包等,以將來自電壓與電流探針238的數據傳輸至主機系統130。在不同的實施例中,主機系統130包含通訊裝置,此通訊裝置能應用通訊裝置233所應用的協定。例如,當通訊裝置233應用封包協定時,主機系統130的通訊裝置應用解封包協定。如另一實例,當通訊裝置233應用串列傳輸協定時,主機系統130的通訊裝置應用串列傳輸協定。
類似地,在接收到功率值P0及/或頻率值F0後,參數控制器224將功率值P0及/或頻率值F0提供至驅動器228。驅動器228產生具有功率值P0及/或頻率值F0的RF訊號並將此RF訊號提供給放大器230。
在一實施例中,驅動器228產生具有驅動功率值及/或驅動頻率值的RF訊號,驅動功率值為功率值P0的函數而驅動頻率值為頻率值F0的函數。例如,驅動功率值係介於功率值P0的數瓦如1至5瓦內等而驅動頻率值係介於頻率值F0的數Hz內如1至5Hz內。
放大器230放大具有功率值P0及/或頻率值F0的RF訊號並產生對應自驅動器228所接收之RF訊號的RF訊號221。例如,RF訊號221比功率值P0具有更高數量的功率。如另一實例,RF訊號221與功率值P0具有相同數量的功率。RF訊號221係藉由纜線217與阻抗匹配電路114而被傳輸至已知的負載112(圖2)。
當功率值P0及/或頻率值F0藉由參數控制器222而被提供至DAS 232且RF訊號221被產生時,電壓與電流探針238量測輸出231處的變數的值。電壓與電流探針238將變數的值發送至主機系統130,讓主機系統130得以執行方法102(圖2)、方法340(圖13)、方法351(圖15)或方法363(圖17)。
應注意,在一實施例中,電壓與電流探針238係自DSP 226去耦合。在某些實施例中,電壓與電流探針238係耦合至DSP 226。更應注意,在狀態S1期間所產生的RF訊號215與在狀態S0狀態期間所產生的RF訊號221皆為組合RF訊號的一部分。例如,RF訊號215為組合RF訊號的一部分,RF訊號221為組合RF訊號的另一部分,RF訊號215的功率數量係高於RF訊號221。
圖10為系統250之一實施例的方塊圖,在系統250中電壓與電流探針238與通訊裝置233係位於RF產生器220的外部。在圖1中,電壓與電流 探針110係位於x MHz RF產生器內以量測x MHz RF產生器之輸出處的變數。電壓與電流探針238係位於RF產生器220的外部以量測RF產生器220之輸出231處的變數。電壓與電流探針238係與RF產生器220的輸出231相關聯例如相耦合。
圖11為系統128之一實施例的方塊圖,在系統128中使用圖1之系統126來決定變數的值。系統128包含m MHz RF產生器、n MHz RF產生器、阻抗匹配電路115、RF傳輸線287及電漿室134。電漿室134係類似於電漿室175。
應注意,在一實施例中,圖2的x MHz RF產生器係類似於m MHz RF產生器而圖2的y MHz RF產生器係類似於n MHz RF產生器。例如,x MHz係等於m MHz而y MHz係等於n MHz。如另一實例,x MHz產生器與m MHz產生器具有類似的頻率而y MHz產生器與n MHz產生器具有類似的頻率。類似的頻率的實例為,x MHz係介於一範圍如m MHz頻率之kHz或Hz的範圍內。在某些實施例中,圖2的x MHz RF產生器並非類似於m MHz RF產生器而圖2的y MHz RF產生器並非類似於n MHz RF產生器。
更應注意的是,在不同的實施例中,在m MHz及n MHz RF產生器的每一者中所使用的感測器類型係不同於在x MHz and y MHz RF產生器的每一者中所使用的感測器類型。例如,在m MHz RF產生器中使用不遵循NIST標準的感測器。如另一實例,在m MHz RF產生器中使用只量測電壓的電壓感測器。
更應注意,在一實施例中,阻抗匹配電路115係類似於阻抗匹配電路114(圖1)。例如,阻抗匹配電路114的阻抗係等於阻抗匹配電路115的阻抗。如另一實例,阻抗匹配電路115的阻抗係介於一範圍之間如阻抗匹配電路 114之阻抗的10-20%。在某些實施例中,阻抗匹配電路115係不類似於阻抗匹配電路114。
阻抗匹配電路115包含電子元件如電感、電阻器等以使耦合至阻抗匹配電路115之電源的阻抗匹配耦合至電路115之負載的阻抗。例如,阻抗匹配電路115使耦合至阻抗匹配電路115之阻抗源(如m MHz RF產生器、n MHz RF產生器、將m MHz與n MHz RF產生器耦合至阻抗匹配電路115的纜線等的組合)的阻抗匹配負載(如電漿室134、RF傳輸線287等的組合)的阻抗。
應注意,在一實施例中,RF傳輸線287係類似於RF傳輸線113(圖1)。例如,RF傳輸線287的阻抗係與RF傳輸線113的阻抗相同。如另一實例,RF傳輸線287的阻抗係介於一範圍之間如RF傳輸線113之阻抗之10-20%。在不同的實施例中,RF傳輸線287係不類似於RF傳輸線113。
電漿室134包含ESC 192、上電極264及其他部件(未顯示)如圍繞上電極264的上介電環、圍繞上介電環的上電極延伸部、圍繞ESC 192之下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區(PEZ)環、下PEZ環等。上電極264係面對ESC 192並與其相望。工作件262如半導體晶圓等係在ESC 192的上表面263上受到其支撐。ESC 192之上電極264與下電極的每一者皆由金屬如鋁、鋁合金、銅等所製成。
在一實施例中,上電極264包含一孔洞,此孔洞係耦合至中央氣體饋送件(未顯示)。中央氣體饋送件自氣體供給源(未顯示)接收一或多種處理氣體。上電極264係接地。ESC 192係藉由阻抗匹配電路115而耦合至m MHz RF產生器與n MHz RF產生器。
當處理氣體被供給至上電極264與ESC 192之間且當m MHz RF產生器及/或n MHz RF產生器藉由阻抗匹配電路115將功率供給至ESC 192時,處理氣體會被點燃而在電漿室134內產生電漿。
應注意,系統128不具有用以量測阻抗匹配電路115之輸出283處、RF傳輸線287上之一點處、或ESC 192處之變數的探針如量測設備、電壓與電流探針、電壓探針等。在模型節點N1m、N2m、N4m及N6m處的變數的值被用來決定系統128是否如期望地運作。
在不同的實施例中,系統128不具有用以量測ESC 192處之晶圓偏壓的晶圓偏壓感測器如原位直流(DC)探針及相關硬體。不使用晶圓偏壓感測器及相關硬體能節省成本。
亦應注意,在一實施例中,系統128包含耦合至阻抗匹配電路之任何數目的RF產生器。
圖12A、12B及12C顯示圖268、272及275之實施例,圖268、272及275說明利用電壓探針在系統126(圖1)內之阻抗匹配電路114之輸出如節點N4處所量測到的電壓如RMS電壓、峰值電壓等與利用方法102(圖2)在對應模型節點輸出如節點N4m處所決定的電壓如峰值電壓等的關聯性。又,圖12A、12B及12C為圖270、274及277之實施例,圖270、274及277說明利用電流探針在系統126(圖1)之輸出如節點N4處所量測到的電流如均方根(RMS)電流等與利用方法102(圖2)在對應輸出如節點N4m處所決定之電流如RMS電流等的關聯性。
將利用方法102所決定的電壓繪製在圖268、272及275每一圖的x軸,並將電壓探針所量測到的電壓繪製在圖268、272及275每一圖的y軸。 類似地,將方法102所決定的電流繪製在圖270、274及277每一圖的x軸,並將電流探針所量測到的電流繪製在圖270、274及277每一圖的y軸。
當x MHz RF產生器開啟且y MHz RF產生器與z MHz RF產生器如60MHz RF產生器皆關閉時在圖268中繪製電壓。又,當y MHz RF產生器開啟且x MHz與z MHz RF產生器皆關閉時,在圖272中繪製電壓。又,當z MHz RF產生器開啟且x MHz與y MHz RF產生器皆關閉時,在圖275中繪製電壓。
類似地,當x MHz RF產生器開啟且y MHz RF產生器與z MHz RF產生器皆關閉時在圖270中繪製電流。又,當y MHz RF產生器開啟且x MHz與z MHz RF產生器皆關閉時,在圖274中繪製電流。又,當z MHz RF產生器開啟且x MHz與y MHz RF產生器皆關閉時,在圖277中繪製電流。
在圖268、272及275的每一圖中可見,在圖之y軸上所繪製的電壓與在圖之x軸上所繪製的電壓之間存在著約略線性的關聯性。類似地,在圖270、274及277的每一圖中可見,在圖之y軸上所繪製的電流與在圖之x軸上所繪製的電流之間存在著約略線性的關聯性。
圖13為方法340之一實施例的流程圖,方法340係用以決定電漿系統126(圖1)之模型節點如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m等處的偏壓。在此些實例中,晶圓偏壓係存在於ESC 177(圖1)的一表面如上表面183上及/或存在於工作件131(圖1)的一表面上如上表面上。
更應注意,模型節點N1m與N2m係皆位於RF傳輸模型161(圖1)上而模型節點N6m係位於ESC模型125(圖1)上。方法340係由主機系統130的處理器(圖1)所執行。在方法340中,進行步驟106。
又,在步驟341中,產生對應至一或多個裝置如阻抗匹配電路114、RF傳輸線113、ESC 177、其組合等的一或多個模型如阻抗匹配模型104、RF 傳輸模型161、ESC模型125(圖1)、其組合等。例如,所產生的ESC模型125的特性係類似於ESC 177(圖1)的特性。
在步驟343中,使在步驟106中所辨識出的複數電壓與電流傳輸通過一或多個模型的一或多個元件以決定在一或多個模型之一輸出處的複數電壓與電流。例如,第二複數電壓與電流係自第一複數電壓與電流所決定。如另一實例,第二複數電壓與電流係自第一複數電壓與電流所決定,第三複數電壓與電流係自第二複數電壓與電流所決定。如更另一實例,第二複數電壓與電流係自第一複數電壓與電流所決定,第三複數電壓與電流係自第二複數電壓與電流所決定,第三複數電壓與電流傳輸通過RF傳輸模型161(圖1)之部件197以決定在模型節點N2m處的第四複數電壓與電流。在此實例中,第四複數電壓與電流係藉著使第三複數電壓與電流傳輸通過部件197之元件的阻抗所決定。如更另一實例,RF傳輸模型161提供一代數轉換函數,主機系統130的處理器執行此一代數轉換函數以將在一或多個RF產生器之一或多個輸出處所量測到的複數電壓與電流轉譯為沿著RF傳輸模型161的電性節點如模型節點N1m、模型節點N2m等。
如步驟343的另一實例,第二複數電壓與電流係自第一複數電壓與電流所決定,第三複數電壓與電流係自第二複數電壓與電流所決定,第四複數電壓與電流係自第三複數電壓與電流所決定,使第四複數電壓與電流傳輸通過ESC模型125以決定在模型節點N6m處的第五複數電壓與電流。在此實例中,第五複數電壓與電流係藉著使第四複數電壓與電流傳輸通過ESC模型125之元件如電阻器、電感等的阻抗所決定。
在步驟342中,基於下列者而決定在一或多個模型之輸出處的晶圓偏壓:輸出處之複數電壓與電流的電壓振幅、輸出處之複數電壓與電流的電 流振幅、及輸出處之複數電壓與電流的功率振幅。例如,晶圓偏壓係基於下列者所決定:第二複數電壓與電流的電壓振幅、第二複數電壓與電流的電流振幅及第二複數電壓與電流的功率振幅。為了更進一步地說明,當x MHz RF產生器開啟且y MHz與z MHz RF產生器皆關閉時,主機系統130的處理器(圖1)決定模型節點N4m(圖1)處的晶圓偏壓為第一乘積、第二乘積、第三乘積與一常數的和。在此說明中,第一乘積為第一係數與第二複數電壓與電流之電壓振幅的乘積,第二乘積為第二係數與第二複數電壓與電流之電流振幅的乘積,第三乘積為第三係數之平方根與第二複數電壓與電流之功率振幅之均方根的乘積。
例如,功率振幅為經輸送之功率的功率振幅,經輸送的功率係由主機系統130的處理器決定為正向功率與反射功率之間的差。正向功率為系統126(圖1)之一或多個RF產生器供給至電漿室175(圖1)的功率。反射功率為自電漿室175反射回系統126(圖1)之一或多個RF產生器的功率。例如,複數電壓與電流的功率振幅係由主機系統130的處理器決定為複數電壓與電流之電流振幅與複數電壓與電流之電壓振幅的乘積。又,用來決定晶圓偏壓之係數與常數的每一者皆為一正數或負數。如決定晶圓偏壓的另一實例,當x MHz RF產生器開啟且y MHz與z MHz RF產生器關閉時,在模型節點處的晶圓偏壓係由下式代表:ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中「ax」為第一係數、「bx」為第二係數、「dx」為常數、「Vx」為模型節點處之複數電壓與電流的電壓振幅、「Ix」為模型節點處之複數電壓與電流的電流振幅、「Px」為模型節點處之複數電壓與電流的功率振幅。應注意,「sqrt」為主機系統130的處理器所執行的平方根運算。在某些實施例中,功率振幅Px為電流振幅Ix與電壓振幅Vx的乘積。
在不同的實施例中,用以決定晶圓偏壓之係數係由主機系統130的處理器(圖1)基於投影法所決定。在投影法中,晶圓偏壓感測器如晶圓偏壓栓 等於第一時間時量測ESC 177之一表面如上表面183(圖1)上的晶圓偏壓。又,在投影法中,在電漿系統126內之一模型節點處的電壓振幅、電流振幅及功率振幅係基於RF產生器之輸出處所量測到的複數電壓與電流所決定。例如,主機系統130的處理器使第一時間時在節點N3(圖1)處所量測到的複數電壓與電流傳輸至模型節點如模型節點N4m、模型節點N1m、模型節點N2m或模型節點N6m(圖1)等,以決定第一時間時模型節點處的複數電壓與電流。電壓振幅與電流振幅係由主機系統130的處理器自第一時間時模型節點處的複數電壓與電流所擷取。又,功率振幅係由主機系統130的處理器計算為第一時間時之電流振幅與電壓振幅的乘積。
類似地,在實例中,針對一或多個額外時間時量測節點N3處的複數電壓與電流,並使量測到的複數電壓與電流傳輸以決定該一或多個額外時間時在模型節點如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m處的複數電壓與電流。又,針對該一或多個額外時間,自該一或多個額外時間時所決定的複數電壓與電流擷取電壓振幅、電流振幅及功率振幅。主機系統130的處理器將數學函數如部分最小平方、線性迴歸等應用至第一時間及一或多個額外時間時的電壓振幅、電流振幅、功率振幅及所獲得的量測晶圓偏壓,以決定係數ax、bx、cx及常數dx。
如步驟342的另一實例,當y MHz RF產生器開啟且x MHz與z MHz RF產生器關閉時,晶圓偏壓係由下列所決定:ay*Vy+by*Iy+cy*sqrt(Py)+dy,其中「ay」為係數、「by」為係數、「dy」為常數、「Vy」為第二複數電壓與電流的電壓振幅、「Iy」為第二複數電壓與電流的電流振幅、「Py」為第二複數電壓與電流的功率振幅。功率振幅Py為電流振幅Iy與電壓振幅Vy的乘積。如步驟342的另一實例,當z MHz RF產生器開啟且x MHz與y MHz RF產 生器關閉時,晶圓偏壓係由下列所決定:az*Vz+bz*Iz+cz*sqrt(Pz)+dz,其中「az」為係數、「bz」為係數、「dz」為常數、「Vz」為第二複數電壓與電流的電壓振幅、「Iz」為第二複數電壓與電流的電流振幅、「Pz」為第二複數電壓與電流的功率振幅。功率振幅Pz為電流振幅Iz與電壓振幅Vz的乘積。
如步驟342的另一實例,當x MHz與y MHz RF產生器皆開啟且z MHz RF產生器關閉時,晶圓偏壓為第一乘積、第二乘積、第三乘積、第四乘積、第五乘積、第六乘積及一常數的和。第一乘積為第一係數與電壓振幅Vx的乘積、第二乘積為第二係數與電流振幅Ix的乘積、第三乘積為第三係數與功率振幅Px之平方根的乘積、第四乘積為第四係數與電壓振幅Vy的乘積、第五乘積為第五係數與電流振幅Iy的乘積、第六乘積為第六係數與功率振幅Py之平方根的乘積。當x MHz與y MHz RF產生器皆開啟且z MHz RF產生器關閉時,晶圓偏壓係由下式代表:axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy,其中「axy」、「bxy」、「cxy」、「dxy」、「exy」、「fxy」、「dxy」、「exy」及「fxy」皆為係數而「gxy」為常數。
如步驟342的另一實例,當y MHz與z MHz RF產生器皆開啟且x MHz RF產生器關閉時,晶圓偏壓係由下式所決定:ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz,其中「ayz」、「byz」、「cyz」、「dyz」、「eyz」及「fyz」為係數而「gyz」為常數。如步驟342的更另一實例,當x MHz與z MHz RF產生器皆開啟且y MHz RF產生器關閉時,晶圓偏壓係由下式所決定:axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz,其中「axz」、「bxz」、「cxz」、「dxz」、「exz」及「fxz」為係數而gxz為常數。
如步驟342的另一實例,當x MHz、y MHz及z MHz RF產生器皆開啟時,晶圓偏壓係決定為第一乘積、第二乘積、第三乘積、第四乘積、第五乘積、第六乘積、第七乘積、第八乘積、第九乘積及一常數的和。第一乘積為第一係數與電壓振幅Vx的乘積、第二乘積為第二係數與電流振幅Ix的乘積、第三乘積為第三係數與功率振幅Px之平方根的乘積、第四乘積為第四係數與電壓振幅Vy的乘積、第五乘積為第五係數與電流振幅Iy的乘積、第六乘積為第六係數與功率振幅Py之平方根的乘積、第七乘積為第七係數與電壓振幅Vz的乘積、第八乘積為第八係數與電流振幅Iz的乘積、第九乘積為第九係數與功率振幅Pz之平方根的乘積。當x MHz、y MHz及z MHz RF產生器開啟時,晶圓偏壓係由下式所代表:axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz,其中「axyz」、「bxyz」、「cxyz」、「dxyz」、「exyz」、「fxyz」、「gxyz」、「hxyz」及「ixyz」為係數而「jxyz」為常數。
如決定一或多個模型之輸出處之晶圓偏壓的另一實例,在模型節點N1m處的晶圓偏壓係由主機系統130的處理器基於模型節點N1m處所決定之電壓與電流振幅所決定。為了更進一步地說明,使第二複數電壓與電流沿著部件173(圖1)傳輸以決定在模型節點N1m處的複數電壓與電流。在模型節點N1m處之複數電壓與電流決定自第二複數電壓與電流的方式係類似於第二複數電壓與電流決定自第一複數電壓與電流的方式。例如,基於部件173之元件的特性使第二複數電壓與電流沿著部件173傳輸以決定模型節點N1m處的複數電壓與電流。
基於在模型節點N1m處所決定的複數電壓與電流,由主機系統130的處理器決定模型節點N1m處的晶圓偏壓。例如,在模型節點N1m處之晶 圓偏壓決定自模型節點N1m處之複數電壓與電流的方式係類似於模型節點N4m處之晶圓偏壓決定自第二複數電壓與電流的方式。為了說明,當x MHz RF產生器開啟且y MHz與z MHz RF產生器關閉時,主機系統130的處理器(圖1)決定模型節點N1m處的晶圓偏壓為第一乘積、第二乘積、第三乘積與一常數的和。在此實例中,第一乘積為第一係數與模型節點N1m處之複數電壓與電流之電壓振幅的乘積、第二乘積為第二係數與模型節點N1m處之複數電壓與電流之電流振幅的乘積、第三乘積為第三係數之平方根與模型節點N1m處之複數電壓與電流之功率振幅之平方根的乘積。當x MHz RF產生器開啟且y MHz與z MHz RF產生器關閉時,模型節點N1m的晶圓偏壓係由下式代表:ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中「ax」為第一係數、「bx」為第二係數、「cx」為第三係數、「dx」為常數、「Vx」為模型節點N1m處的電壓振幅、「Ix」為模型節點N1m處的電流振幅、「Px」為模型節點N1m處的功率振幅。
類似地,基於模型節點N1m處的複數電壓與電流且基於x MHz、y MHz及z MHz RF產生器中何者開啟,可決定晶圓偏壓ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy,axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz,ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
如決定一或多個模型之輸出處之晶圓偏壓的更另一實例,在模型節點N2m處的晶圓偏壓係由主機系統130的處理器基於模型節點N2m處之電壓與電流振幅所決定,其決定方式係類似於在模型節點N1m處之晶圓偏壓基於在模型節點N1m處之電壓與電流振幅的決定方式。為了更進一步地說明,決定模 型節點N2m處的晶圓偏壓為ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
如決定一或多個模型之輸出處之晶圓偏壓的更另一實例,在模型節點N6m處的晶圓偏壓係由主機系統130的處理器基於模型節點N6m處之電壓與電流振幅所決定,其決定方式係類似於在模型節點N2m處之晶圓偏壓基於在模型節點N2m處之電壓與電流振幅的決定方式。為了更進一步地說明,決定模型節點N6m處的晶圓偏壓為ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
應注意,在某些實施例中,晶圓偏壓係儲存於儲存HU 162(圖1)內。
圖14之狀態圖說明了在主機系統130(圖1)內實施之晶圓偏壓產生器340的一實施例。當x MHz、y MHz及z MHz RF產生器三者皆關閉時,在模型節點如模型節點N4m、N1m、N2m、N6m(圖1)等處的晶圓偏壓為零或最小值。當x MHz、y MHz或z MHz RF產生器開啟且x MHz、y MHz及z MHz RF產生器中的剩下者關閉時,晶圓偏壓產生器340決定在模型節點如模型節點N4m、 N1m、N2m、N6m等處的晶圓偏壓為第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)及一常數d的和,其中V為模型節點處之複數電壓與電流的電壓振幅、I為複數電壓與電流的電流振幅、P為複數電壓與電流的功率振幅、a為係數、b為係數、c為係數而d為常數。在不同的實施例中,模型節點處的功率振幅為模型節點處之電流振幅與模型節點處之電壓振幅的乘積。在某些實施例中,功率振幅為經輸送之功率的振幅。
當x MHz、y MHz及z MHz RF產生器中的兩者開啟且當x MHz、y MHz及z MHz RF產生器中的剩餘者關閉時,晶圓偏壓產生器340決定在模型節點如模型節點N4m、N1m、N2m、N6m等處的晶圓偏壓為第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)及一常數g12的和,其中:「V1」為模型節點處之複數電壓與電流的電壓振幅,其係藉著使在RF產生器中第一個開啟的RF產生器之輸出處所量測到的電壓傳輸所決定;「I1」為該複數電壓與電流的電流振幅,其係藉著使在RF產生器中第一個開啟的RF產生器之輸出處所量測到的電流傳輸所決定;「P1」為該複數電壓與電流的功率振幅,其係由V1與I1的乘積所決定;「V2」為該模型節點處之該複數電壓與電流的電壓振幅,其係藉著使在RF產生器中第二個開啟的RF產生器之輸出處所量測到的電壓傳輸所決定;「I2」為該複數電壓與電流的電流振幅,其係藉著使在RF產生器中第二個開啟的RF產生器之輸出處所量測到的電流傳輸所決定;「P2」為功率振幅,其係由V1與I1的乘積所決定;「a12」、「b12」、「c12」、「d12」、「e12」及「f12」的每一者皆為係數,「g12」為常數。
當x MHz、y MHz及z MHz RF產生器皆開啟時,晶圓偏壓產生器340決定在模型節點如模型節點N4m、N1m、N2m、N6m等處的晶圓偏壓為 第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)及一常數j123的和,其中:「V1」為模型節點處之複數電壓與電流的電壓振幅,其係藉著使在RF產生器中第一者之輸出處所量測到的電壓傳輸所決定;「I1」為該複數電壓與電流的電流振幅,其係藉著使在RF產生器中第一者之輸出處所量測到的電流傳輸所決定;「P1」為該複數電壓與電流的功率振幅,其係由V1與I1的乘積所決定;「V2」為該模型節點處之複數電壓與電流的電壓振幅,其係藉著使在RF產生器中第二者之輸出處所量測到的電壓傳輸所決定;「I2」為該複數電壓與電流的電流振幅,其係藉著使在RF產生器中第二者之輸出處所量測到的電流傳輸所決定;「P2」為該複數電壓與電流的功率振幅,其係由V2與I2的乘積所決定;「V3」為該模型節點處之複數電壓與電流的電壓振幅,其係藉著使在RF產生器中第三者之輸出處所量測到的電壓傳輸所決定;「I3」為該複數電壓與電流的電流振幅,其係藉著使在第三RF產生器之輸出處所量測到的電流傳輸所決定;「P3」為該複數電壓與電流的功率振幅,其係由V3與I3的乘積所決定;「a123」、「b123」、「c123」、「d123」、「e123」、「f123」、「g123」、「h123」及「i123」的每一者皆為係數,「j123」為常數。
圖15為方法351之一實施例的流程圖,方法351係用以決定沿著路徑353(圖16)之一點處的晶圓偏壓,路徑353係介於模型節點N4m(圖16)與ESC模型125(圖16)之間。圖15的說明係參考圖16,圖16為系統355之一實施例的方塊圖,系統355係用以決定在一模型之一輸出處之晶圓偏壓。
在步驟357中,偵測x MHz、y MHz或z MHz RF產生器的輸出以識別產生器輸出複數電壓與電流。例如,電壓與電流探針110(圖1)量測在節 點N3(圖1)處的複數電壓與電流。在此實例中,主機系統130(圖1)藉由通訊裝置185(圖1)自電壓與電流探針110接收複數電壓與電流,使其得以儲存在儲存HU 162(圖1)內。又,在一實例中,主機系統130的處理器從儲存HU 162識別複數電壓與電流。
在步驟359中,主機系統130的處理器使用產生器輸出複數電壓與電流,以決定沿著介於模型節點N4m與模型節點N6m之間之路徑353上之一點處的投影複數電壓與電流。路徑161自模型節點N4m延伸至模型節點N6m。例如,第五複數電壓與電流係自在x MHz RF產生器、y MHz RF產生器或z MHz RF產生器之輸出處所量測到的複數電壓與電流所決定。如另一實例,使在節點N3或節點N5處量測到的複數電壓與電流藉由阻抗匹配模型104傳輸,以決定在模型節點N4m(圖1)處的複數電壓與電流。在此實例中,使模型節點N4m處的複數電壓與電流藉由RF傳輸模型161(圖16)的一或多個元件及/或ESC模型125(圖16)之一或多個元件傳輸,以決定路徑353上之一點處的複數電壓與電流。
在步驟361中,主機系統130的處理器將在路徑353上之一點處所決定的投影複數電壓與電流當作成一函數的輸入,以將投影複數電壓與電流映射至ESC模型125(圖15)之節點N6m處的晶圓偏壓值。例如,當x MHz、y MHz或z MHz RF產生器開啟時,模型節點N6m處的晶圓偏壓係決定為第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)及一常數d的和,其中「V」為在模型節點N6m處之投影複數電壓與電流的電壓振幅、「I」為在模型節點N6m處之投影複數電壓與電流的電流振幅、「P」為在模型節點N6m處之投影複數電壓與電流的功率振幅、而「a」、「b」及「c」為係數、「d」為常數。
如另一實例,當x MHz、y MHz及z MHz RF產生器中的兩者開啟且x MHz、y MHz及z MHz RF產生器中的剩餘一者關閉時,在模型節點N6m處的晶圓偏壓係決定為第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)及常數g12的和,其中:「V1」為在模型節點N6m處之電壓振幅,其為兩個開啟之RF產生器的第一者的結果;「I1」為在模型節點N6m處之電流振幅,其為開啟之RF產生器的第一者的結果;「P1」為在模型節點N6m處之功率振幅,其為開啟之RF產生器的第一者的結果;「V2」為在模型節點N6m處之電壓振幅,其為開啟之RF產生器的第二者的結果;「I2」為在模型節點N6m處之電流振幅,其為開啟之RF產生器的第二者的結果;「P2」為在模型節點N6m處之功率振幅,其為開啟之RF產生器的第二者的結果;「a12」、「b12」、「c12」、「d12」、「e12」及「f12」為係數,「g12」為常數。
如更另一實例,當x MHz、y MHz及z MHz RF產生器三者皆開啟時,在模型節點N6m處的晶圓偏壓係決定為第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)及常數j123的和,其中「V1」、「I1」、「P1」、「V2」、「I2」及「P2」已於前面的實例中說明過,其他者的定義如下:「V3」為在模型節點N6m處之電壓振幅,其為三個開啟之RF產生器的第三者的結果;「I3」為在模型節點N6m處之電流振幅,其為開啟之RF產生器的第三者的結果;「P3」為在模型節點N6m處之功率振幅,其為開啟之RF產生器的第三者的結果;「a123」、「b123」、「c123」、「d123」、「e123」、「f123」、「g123」、「h123」及「i123」為係數,「j123」為常數。
如另一實例,用以決定晶圓偏壓的函數為特徵值與常數的和。特徵值包含振幅如振幅V、I、P、V1、I1、P1、V2、I2、P2、V3、I3、P3等。特徵值亦包含係數如係數a、b、c、a12、b12、c12、d12、e12、f12、a123、b123、c123、d123、e123、f123、g123、h123、i123等。常數的實例包含常數d、常數g12、常數j123等。
應注意,特徵值的係數及特徵值的常數包含經驗模型的數據。例如,利用晶圓偏壓感測器在ESC 177(圖1)處多次量測晶圓偏壓。又,在此實例中,針對多次測得的晶圓偏壓,藉由下列方式決定沿著路徑353(圖16)上之一點處的複數電壓與電流:使複數電壓與電流自多個RF產生器如x MHz RF產生器、y MHz RF產生器、z MHz RF產生器等之一或多者的節點如節點N3、N5等之一或多個藉由模型如阻抗匹配模型104、模型部件173、RF傳輸模型161、ESC模型125(圖1)的一或多者傳輸到達路徑353(圖16)上之該點。又,在此實例中,主機系統130的處理器將統計方法如部分最小平方法、迴歸法等應用至量測到的晶圓偏壓並應用至擷取自該點處之複數電壓與電流的電壓振幅、電流振幅與功率振幅,以決定特徵值的係數及特徵值的常數。
在不同的實施例中,用以決定晶圓偏壓的函數的特徵在於,代表路徑353之物理特性之數值的總和。路徑353之物理特性為來自測試數據如經驗模型數據的推導值。路徑353之物理特性的實例包含路徑353上之元件的電容值、電感值、其組合等。如上所述,路徑353上之元件的電容值及/或電感值會影響在路徑353上之一點處利用投影法以經驗方式決定的電壓與電流,因此會影響特徵值的係數及特徵值的常數。
在某些實施例中,用以決定晶圓偏壓的函數為多項式。
圖17為方法363之一實施例的流程圖,方法363係用以決定系統126(圖1)之模型節點處的晶圓偏壓。圖17的說明係參考圖1與16。方法363係由主機系統130的處理器(圖1)執行。在步驟365中,主機系統130自產生器系統的一或多個通訊裝置接收一或多個複數電壓與電流,產生器系統包含x MHz RF產生器、y MHz RF產生器及z MHz RF產生器中的一或多者。例如,自通訊裝置185(圖1)接收在節點N3處量測到的複數電壓與電流。如另一實例,自通訊裝置189(圖1)接收在節點N5處量測到的複數電壓與電流。如更另一實例,接收在節點N3處量測到的複數電壓與電流以及在節點N5處量測到的複數電壓與電流。應注意,產生器系統的輸出包含節點N3、N5及z MHz RF產生器之輸出節點中的一或多者。
在步驟367中,基於在產生器系統之輸出處之一或多個複數電壓與電流,決定在沿著如路徑353(圖16)上一點處所決定的投影複數電壓與電流,路徑353(圖16)係介於阻抗匹配模型104與ESC模型125(圖16)之間。例如,在產生器系統之輸出處的複數電壓與電流係藉由阻抗匹配模型104(圖16)投影,以決定模型節點N4m處的複數電壓與電流。如另一實例,在產生器系統之輸出處之複數電壓與電流係藉由阻抗匹配模型104(圖16)與RF傳輸模型161的部件173(圖1)投影,以決定在模型節點N1m(圖1)處的複數電壓與電流。如更另一實例,在產生器系統之輸出處之複數電壓與電流係藉由阻抗匹配模型104與RF傳輸模型161投影,以決定在模型節點N2m(圖1)處的複數電壓與電流。如另一實例,在產生器系統之輸出處之複數電壓與電流係藉由阻抗匹配模型104與RF傳輸模型161及ESC模型125投影,以決定在模型節點N6m(圖1)處的複數電壓與電流。
在步驟369中,利用投影的複數V及I作為一函數之輸入,計算沿著路徑353之一點處的晶圓偏壓。例如,當x MHz、y MHz或z MHz RF產生器開啟且x MHz、y MHz及z MHz RF產生器中的剩餘者關閉時,此點處的晶圓偏壓係由一函數決定,此函數為第一乘積a*V、第二乘積b*I、第三乘積c*sqrt(P)及常數d的和,其中「V」為在該點處之投影複數電壓與電流的電壓振幅、「I」為在該點處之投影複數電壓與電流的電流振幅、「P」為在該點處之投影複數電壓與電流的功率振幅,「a」、「b」及「c」為係數而「d」為常數。
如另一實例,當x MHz、y MHz及z MHz RF產生器中的兩者開啟且x MHz、y MHz及z MHz RF產生器中的剩餘一者關閉時,在該點處的晶圓偏壓係決定為第一乘積a12*V1、第二乘積b12*I1、第三乘積c12*sqrt(P1)、第四乘積d12*V2、第五乘積e12*I2、第六乘積f12*sqrt(P2)及常數g12的和,其中:「V1」為在該點處的電壓振幅,其為開啟的兩個RF產生器中第一者的結果;「I1」為該點處的電流振幅,其為開啟的RF產生器中第一者的結果;「P1」為該點處的功率振幅,其為開啟的RF產生器中第一者的結果;「V2」為該點處的電壓振幅,其為開啟的兩個RF產生器中第二者的結果;「I2」為該點處的電流振幅,其為開啟的RF產生器中第二者的結果;「P2」為該點處的功率振幅,其為開啟的RF產生器中第二者的結果;「a12」、「b12」、「c12」、「d12」、「e12」及「f12」為係數,「g12」為常數。
如更另一實例,當x MHz、y MHz及z MHz RF產生器三者皆開啟時,該點處的晶圓偏壓係決定為第一乘積a123*V1、第二乘積b123*I1、第三乘積c123*sqrt(P1)、第四乘積d123*V2、第五乘積e123*I2、第六乘積f123*sqrt(P2)、第七乘積g123*V3、第八乘積h123*I3、第九乘積i123*sqrt(P3)及常數j123的和,其中V1、I1、P1、V2、I2及P2已於前面的實施例中說明過,其他者的定義如 下:V3為在該點處的電壓振幅,其為開啟的RF產生器中第三者的結果;「I3」為該點處的電流振幅,其為開啟的RF產生器中第三者的結果;「P3」為該點處的功率振幅,其為開啟的RF產生器中第三者的結果;「a123」、「b123」、「c123」、「d123」、「e123」、「f123」、「g123」、「h123」及「i123」為係數,「j123」為常數。
圖18為系統330之一實施例的方塊圖,系統330係用以說明利用方法340(圖13)、方法351(圖15)或方法363(圖17)而非利用電壓探針332如電壓感測器等來決定晶圓偏壓的優點。
電壓探針332係耦合至節點N1以決定節點N1處的電壓。在某些實施例中,電壓探針332係耦合至另一節點如節點N2、N4等,以決定此另一節點處的電壓。電壓探針332包含複數電路如RF分配電路、濾波器電路1、濾波器電路2、濾波器電路3等。
又,x MHz與y MHz RF產生器係耦合至包含雜訊或訊號決定模組336的主機系統334。應注意,模組可包含處理器、ASIC、PLD、處理器所執行的軟體或其組合。
電壓探針332量測電壓振幅,主機系統334使用此電壓振幅來決定晶圓偏壓。模組336決定電壓探針332所量測到的電壓振幅為訊號還是雜訊。在決定電壓探針332所量測到的電壓振幅為訊號後,主機系統334決定晶圓偏壓。
相較於系統330,系統126(圖1)成本較低且能節省時間與氣力。系統330包含電壓探針332,但系統126中毋需包含電壓探針332。為了決定晶圓偏壓,毋需將電壓探針耦合至系統126的節點N4、N1或N2處。在系統126中,晶圓偏壓係基於阻抗匹配模型104、RF傳輸模型161及/或ESC模型125(圖 1)所決定。又,系統330包含模組336,但系統126毋需包含模組336。毋需浪費時間及氣力去判斷複數電壓與電流為訊號或雜訊。主機系統130(圖1)毋需去進行此類判斷。
圖19A、19B及19C顯示圖328、332及336之實施例,圖328、332及336係用以說明在部件195(圖1)之輸出如節點N1處利用電壓探針所量測到之電壓如峰值電壓等與在對應的模型節點輸出如節點N1m處利用方法102(圖2)所決定之電壓如峰值電壓之間的關聯性如線性關聯性。在圖328、332及336的每一圖中,量測到的電壓係繪製在y軸上而利用方法102所決定的電壓係繪製在x軸上。
又,圖19A、19B及19C顯示圖330、334及338之實施例,圖330、334及338係用以說明在輸出N6(圖1)處利用晶圓偏壓探針所量測到之晶圓偏壓與在對應的模型節點輸出如節點N6m處利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之晶圓偏壓之間的關聯性如線性關聯性等。在圖330、334及338的每一圖中,利用晶圓偏壓探針量測到的晶圓偏壓係繪製在y軸上而利用方法340、方法351或方法363所決定的晶圓偏壓係繪製在x軸上。
當y MHz與z MHz RF產生器開啟且x MHz RF產生器關閉時,將電壓與晶圓偏壓繪製在圖328與330中。又,當x MHz與z MHz RF產生器開啟且y MHz RF產生器關閉時,將電壓與晶圓偏壓繪製在圖332與334中。又,當x MHz與y MHz RF產生器開啟且z MHz RF產生器關閉時,將電壓繪製在圖336與338中。
圖20A顯示圖276與278之實施例,圖276與278係用以說明下列三者之間的關聯性:利用感測器設備如量測設備、探針、感測器、晶圓偏壓探針等所量測到的接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或363(圖 17)所決定之模型晶圓偏壓、及模型偏壓中的誤差。被繪製在圖276中之接線晶圓偏壓係於一點如RF傳輸線113之節點、ESC 177之上表面183(圖1)上的節點等處所量測,被繪製於圖276中之模型偏壓係於路徑353(圖16)上之對應的模型節點如模型節點N4m、模型節點N1m、模型節點N2m、模型節點N6m(圖1)等處所決定。接線晶圓偏壓係沿著圖276中的y軸繪製,模型偏壓係沿著圖276中的x軸繪製。
當x MHz RF產生器開啟且y MHz與z MHz RF產生器關閉時,將接線晶圓偏壓與模型偏壓繪製在圖276中。又,圖276之模型偏壓係利用下列方程式所決定:a2*V2+b2*I2+c2*sqrt(P2)+d2,其中「*」代表乘、「sqrt」代表平方根、「V2」代表沿著路徑353(圖16)上之一點處的電壓、「I2」代表該點處的電流、「P2」代表該點處的功率、「a2」為係數、「b2」為係數、「c2」為係數、「d2」為常數。
圖278將該點處的誤差繪製在y軸上並將該點處的模型偏壓繪製在x軸上,該點處的誤差為該點處之模型偏壓中的誤差。模型誤差為模型偏壓中的誤差如變異、標準差。當x MHz RF產生器開啟且y MHz與z MHz RF產生器關閉時,將模型誤差與與模型偏壓繪製於圖278中。
圖20B顯示圖280與282之一實施例,圖280與282係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖280與282是在y MHz RF產生器開啟且x MHz與z MHz RF產生器關閉的情況下製繪外,圖280與282的繪製方式係類似於圖276與278(圖20A)的繪製方式。又,圖280與282的模型偏壓係利用下列方程式所決定:a27*V27+b27*I27+c27*sqrt(P27)+d27,其中「V27」代表沿著路徑353(圖16)上之一點處的電壓振幅、「I27」代表該點處 的電流振幅、「P27」代表該點處之功率振幅、「a27」為係數、「b27」為係數、「c27」為係數、「d27」為常數。
圖20C顯示圖284與286之一實施例,圖284與286係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖284與286是在z MHz RF產生器開啟且x MHz與y MHz RF產生器關閉的情況下繪製之外,圖284與286的繪製方式係類似於圖276與278(圖20A)的繪製方式。又,圖284與286的模型偏壓係利用下列方程式所決定:a60*V60+b60*I60+c60*sqrt(P60)+d60,其中「V60」代表沿著路徑353(圖16)上之一點處的電壓振幅、「I60」代表該點處的電流振幅、「P60」代表該點處的功率振幅、「a60」為係數、「b60」為係數、「c60」為係數、「d60」為常數。
圖20D顯示圖288與290之一實施例,圖288與290係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖288與290是在x MHz與y MHz RF產生器開啟且z MHz產生器關閉的情況下繪製之外,圖288與290的繪製方式係類似於圖276與278(圖20A)的繪製方式。又,圖288與290的模型偏壓係利用下列方程式所決定:a227*V2+b227*I2+c227*sqrt(P2)+d227*V27+e227*I27+f227*sqrt(P27)+g227,其中「a227」、「b227」與「c227」、「d227」、「e227」與「f227」為係數,「g227」為常數。
圖20E顯示圖292與294之一實施例,圖292與294係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖292與294是在x MHz與z MHz RF產生器開啟且y MHz產生器關閉的情況下繪製之外,圖292與294的繪 製方式係類似於圖276與278(圖20A)的繪製方式。又,圖292與294的模型偏壓係利用下列方程式所決定:a260*V2+b260*I2+c260*sqrt(P2)+d260*V60+e260*I60+f260*sqrt(P60)+g260,其中「a260」、「b260」、「c260」、「d260」、「e260」、「f260」為係數,「g260」為常數。
圖20F顯示圖296與298之一實施例,圖296與298係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖296與298是在y MHz與z MHz RF產生器開啟且x MHz產生器關閉的情況下繪製之外,圖296與298的繪製方式係類似於圖276與278(圖20A)的繪製方式。又,圖296與298的模型偏壓係利用下列方程式所決定:a2760*V27+b2760*I27+c2760*sqrt(P27)+d2760*V60+e2760*I60+f2760*sqrt(P60)+g2760,其中「a2760」、「b2760」、「c2760」、「d2760」、「e2760」、「f2760」為係數,「g2760」為常數。
圖20G顯示圖302與304之一實施例,圖302與304係用以說明接線晶圓偏壓、利用方法340(圖13)、方法351(圖15)或方法363(圖17)所決定之模型偏壓、及模型偏壓中之誤差的關聯性。除了圖302與304是在x MHz、y MHz與z MHz RF產生器皆開啟的情況下繪製之外,圖302與304的繪製方式係類似於圖276與278(圖20A)的繪製方式。又,圖302與304的模型偏壓係利用下列方程式所決定:a22760*V2+b22760*I2+c22760*sqrt(P2)+d22760*V27+e22760*I27+f22760*sqrt(P27)+g22760*V60+h22760*I60+i22760*sqrt(P60)+j22760,其中「a22760」、「b22760」、「c22760」、「d22760」、「e22760」、「f22760」、「g22760」、「h22760」、「i22760」為係數,「j22760」為常數。
圖21為主機系統130之一實施例的方塊圖。主機系統130包含處理器168、儲存HU 162、輸入HU 380、輸出HU 382、輸入/輸出(I/O)介面384、 I/O介面386、網路介面控制器(NIC)388及匯流排392。處理器168、儲存HU 162、輸入HU 380、輸出HU 382及I/O介面384、I/O介面386及NIC 388係藉由該匯流排392彼此耦合。輸入HU 380的實例包含滑鼠、鍵盤、觸控筆等。輸出HU 382的實例包含顯示器、揚聲器或其組合。顯示器的實例包含液晶顯示器、發光二極體顯示器、陰極管、電漿顯示器等。NIC 388的實例包含網路介面卡、網路配接器等。
I/O介面的實例包含為耦合至介面之硬體之間提供匹配性的介面。例如,I/O介面384將自輸入HU 380所接收到的訊號轉換成與匯流排392相匹配的形式、振幅及/或速度。如另一實例,I/O介面386將自匯流排392所接收到的訊號轉換成與輸出HU 382相匹配的形式、振幅及/或速度。
應注意,在某些實施例中,晶圓偏壓係用以決定用來將工作件131(圖1)拑至ESC 177(圖1)的拑電壓。例如,當電漿室175(圖1)無晶圓偏壓時,ESC 177內的兩電極具有相反極性但振幅相當的電壓以將工作件131拑至ESC 177。在實例中,當電漿室175(圖1)有晶圓偏壓時,供給至兩電極的電壓振幅不同以補償存在的晶圓偏壓。在不同的實施例中,晶圓偏壓係用以補償ESC 177(圖1)處的偏壓。
亦應注意,相較於使用電壓來補償ESC 177處的偏壓,使用三個參數如電流振幅、電壓振幅及電流與電壓間之相位來決定晶圓偏壓能更妥善地決定晶圓偏壓。例如,相較於RF電壓與非線性電漿域之間的關係,利用三個參數所計算出的晶圓偏壓與非線性電漿域之間有較強的關聯性。如另一實例,利用三個參數所計算出的晶圓偏壓比利用電壓探針所決定的晶圓偏壓更精準。
圖22顯示一函數之一實施例,此函數係用以說明自晶圓偏壓及峰值振幅來決定離子能量。離子能量之決定係由主機系統130之處理器168(圖 21)所執行。例如,離子能量被計算為,在模型節點N6m處之晶圓偏壓如模型偏壓乘上係數「C1」及一或多個RF產生器之電壓之峰值振幅乘上係數「C2」的和。係數「C1」的實例包含負實數,係數「C2」的實例包含正實數。
在不同的實施例中,係數「C1」為正實數。在不同的實施例中,係數「C1」為負實數。係數「C1」與「C2」、晶圓偏壓及峰值振幅皆被儲存在儲存HU 162(圖21)中。峰值振幅的實例包含峰至峰的振幅及零至峰的振幅。
在某些實施例中,用以決定離子能量的峰值振幅係由主機系統130的處理器168自模型節點N6m(圖1)處之複數電壓與電流所擷取。在不同的實施例中,用以決定離子能量的峰值振幅係由主機系統130的處理器168自模型節點N2m或模型節點N1m或模型節點N4m(圖1)處的複數電壓與電流所擷取。
在不同的實施例中,用以計算離子能量的峰值振幅係由電壓與電流探針所量測,此電壓與電流探針一端係耦合至節點N1或節點N2(圖1)或節點N6(圖1)而另一端係耦合至處理器168。耦合至節點N1或節點N2或節點N6的電壓與電流探針能夠區別x MHz與y MHz RF產生器的頻率。
在某些實施例中,用以決定離子能量的峰值振幅與晶圓偏壓兩者係皆取於一模型節點。例如,用以決定離子能量的峰值振幅係自模型節點N6m處的複數電壓與電流擷取出,用以決定離子能量之晶圓偏壓係在模型節點N6m處計算出。如另一實例,用以決定離子能量的峰值振幅係自模型節點N2m處的複數電壓與電流擷取出,用以決定離子能量之晶圓偏壓係在模型節點N2m處計算出。
在不同的實施例中,用以決定離子能量的峰值振幅係自第一模型節點處的複數電壓與電流擷取出,用以決定離子能量之晶圓偏壓係在非第一模型節點之第二模型節點處決定。例如,用以決定離子能量的峰值振幅係自模型 節點N6m處的複數電壓與電流擷取出,用以決定離子能量之晶圓偏壓係在模型節點N2m處計算出。如另一實例,用以決定離子能量的峰值振幅係自模型節點N2m處的複數電壓與電流擷取出,用以決定離子能量之晶圓偏壓係在模型節點N6m處計算出。
在數個實施例中,用以計算離子能量之峰值振幅為x MHz與y MHz RF產生器(圖1)之一或多者之一或多個輸出如節點N3、節點N5等(圖1)處的電壓。在使用複數RF產生器如使用x MHz與y MHz RF產生器的實施例中,峰值電壓係藉由一端耦合至節點N3而另一端耦合至處理器168的電壓與電流探針所量測,且處理器168計算在輸出處之峰值電壓的代數組合如總和、平均等以計算用以計算離子能量的峰值振幅。耦合至節點N3與N5中任一點的電壓與電流探針的實例包含NIST探針。
在某些實施例中,使用均方根振幅來替代峰值振幅。
在某些實施例中,離子能量係由主機系統130的處理器168決定為晶圓偏壓及用以計算晶圓偏壓之RF電壓振幅Vx、Vy、Vz等的函數。例如,主機系統130的處理器決定離子能量為:Ei=(-1/2)Vdc+(1/2)Vpeak
其中Ei為離子能量、Vdc為晶圓偏壓、Vpeak為用以計算晶圓偏壓之零至峰值的電壓。Vpeak為峰值電壓如電壓Vx、Vy或Vz。
在不同的實施例中,離子能量為在電漿室之電漿內所形成的能量。
在某些實施例中,當複數RF產生器開啟時,用以計算晶圓偏壓的Vpeak為所有RF產生器中具有最低頻率之RF產生器的電壓。例如,Vpeak等於Vx。在不同的實施例中,當複數RF產生器開啟時,用以計算晶圓偏壓的 Vpeak為所有RF產生器中具有最高頻率之RF產生器的電壓。例如,Vpeak等於Vz。在不同的實施例中,當複數RF產生器開啟時,用以計算離子能量的Vpeak為頻率係介於最低頻率與最高頻率之間之RF產生器的電壓。例如,Vpeak等於Vy。在數個實施例中,Vpeak為開啟之RF產生器之峰值RF電壓之統計值如中位數、平均等的峰值電壓。以此方式所計算的離子能量毋需使用昂貴的電壓探針設備來量測Vpeak且毋需使用偏壓補償電路來量測晶圓偏壓。用以量測Vpeak的電壓探針可能會不精準。偏壓補償電路的實例為碳化矽栓。利用本發明之各種實施例所決定的離子能量導致低平均失效間隔時間(MTBF)。
應注意在某些實施例中,離子能量的值係儲存於儲存HU 162中。
更應注意,雖然上述步驟係參考平行板電漿室如電容耦合電漿室等,但在某些實施例中,上述的步驟可應用至其他類型的電漿如包含感應耦合電漿(ICP)反應室、變壓器耦合電漿(TCP)反應室、導體設備、介電設備、包含電子迴旋共振(ECR)反應室的電漿室等。例如,x MHz RF產生器與y MHz RF產生器係耦合至ICP電漿室內的電感。
亦應注意,雖然上述步驟係藉由主機系統130的處理器(圖1)所執行,但在某些實施例中,步驟可藉由主機系統130的一或多個處理器執行、或多個主機系統的多個處理器執行。
應注意,雖然上述的實施例係關於將RF訊號提供予ESC 177(圖1與圖8)的下電極及ESC 192(圖11)的下電極並使上電極179與264(圖1與11)接地,但在數個實施例中,RF訊號係提供予上電極179與264且ESC 177與192的下電極接地。
本文中所述的實施例可利用各種電腦系統配置施行之,此些電腦系統配置包含手持硬體單元、微處理器系統、微處理器系或可程式化的消費電子裝置、迷你電腦、主機等。本文中所述的實施例亦可在分散式的計算環境中施行,在此種環境中任務係由經由網路鏈結的複數遠端處理硬體單元所執行。
考慮到上述實施例,應瞭解,實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行步驟。此些步驟需要實質操控物理數量。形成實施例之一部分之所述之任何步驟皆為有用的機械步驟。實施例亦關於執行此些步驟的硬體單元或設備。可針對專門用途的電腦專門建構設備。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬特別用途的子程式。在某些實施例中,步驟可由選擇性活化的通用電腦執行或者可由儲存在電腦記憶體、快取記憶體或自網路所獲得的一或多個電腦程式所配置。當數據係自網路獲得時,該數據可由網路上的其他電腦如雲端計算資源所處理。
可將一或多個實施例製作成非暫態電腦可讀媒體上的電腦可讀碼。非暫態電腦可讀媒體可以是可儲存數據且後續可被電腦系統讀取的任何數據儲存硬體單元。非暫態電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、ROM、RAM、光碟-ROM(CD-ROM)、可錄CD(CD-R)、可重覆寫入之CD(CD-RW)、磁帶及其他光學式及非光學式儲存硬體單元。非暫態電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。
雖然上述圖2、圖13、圖15、圖17之流程圖中的方法步驟係以特定順序說明之,但應瞭解,只要能以期望的方式進行方法的整體步驟,在步驟之間可進行其他閒雜步驟或者可調整步驟使其發生的時間略有不同,或者可 將步驟分配至允許處理步驟以和處理相關之不同間隔進行的系統中,或者可以不同於圖示中所示的順序來進行步驟。
在不脫離本文所述之各種實施例的範圍的情況下,來自任何實施例的一或多個特徵可與任何其他實施例的一或多個徵特結合。
為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了前面的實施例,應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節,在隨附申請範圍的範疇與等效物內可修改此些實施例。

Claims (23)

  1. 一種離子能量的決定方法,此方法包含下列步驟:當一射頻(RF)產生器係藉由一阻抗匹配電路而耦合至一電漿室時,從一電壓與電流探針接收由該電壓與電流探針於該射頻產生器之一輸出處所測得的一第一複數(complex)電壓與電流,其中該電壓與電流探針係耦合至該射頻產生器的該輸出,其中該射頻產生器的該輸出係經由一射頻纜線耦合至該阻抗匹配電路的一輸入,該阻抗匹配電路具有耦合至一RF傳輸線的一輸出;基於在該阻抗匹配電路中所定義的電子元件來產生一阻抗匹配模型,此阻抗匹配模型具有一輸入與一輸出,該阻抗匹配模型的該輸入接收該第一複數電壓與電流,該阻抗匹配模型具有一或多個元件;使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該一或多個元件以決定一第二複數電壓與電流;獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量。
  2. 如申請專利範圍第1項之離子能量的決定方法,其中該晶圓偏壓係基於該第二複數電壓與電流的電壓振幅、該第二複數電壓與電流的電流振幅、及該第二複數電壓與電流的功率振幅,其中決定該晶圓偏壓包含:基於該電壓振幅及該電流振幅計算該功率振幅;及計算第一乘積、第二乘積、第三乘積及一常數的和,其中該第一乘積為該電壓振幅與一第一係數的乘積,該第二乘積為該電流振幅與一第二係數的乘積,該第三乘積為該功率振幅之平方根與一第三係數的乘積。
  3. 如申請專利範圍第1項之離子能量的決定方法,其中該RF產生器包含一2兆赫茲(megahertz)的RF產生器、一27兆赫茲的RF產生器、或一60兆赫茲的RF產生器。
  4. 如申請專利範圍第1項之離子能量的決定方法,更包含:基於在該RF傳輸線中所定義的電路元件產生一RF傳輸模型,該RF傳輸模型具有一輸入與一輸出,該RF傳輸模型的該輸入係耦合至該阻抗匹配模型的該輸出,該RF傳輸模型具有一RF傳輸模型部分,其中該晶圓偏壓係在該RF傳輸模型部分的該輸出處決定。
  5. 如申請專利範圍第1項之離子能量的決定方法,更包含:基於在該RF傳輸線中所定義的電子元件產生一RF傳輸模型,該RF傳輸模型具有一輸入與一輸出,該RF傳輸模型的該輸入係耦合至該阻抗匹配模型的該輸出,其中該晶圓偏壓係在該RF傳輸模型的該輸出處決定。
  6. 如申請專利範圍第5項之離子能量的決定方法,其中該RF傳輸線的該等電子元件包含電容器、電感器、或其組合,該RF傳輸模型包含一或多個元件,其中該RF傳輸模型的該一或多個元件所具有的特性係類似於該RF傳輸線之該等電子元件所具有的特性。
  7. 如申請專利範圍第1項之離子能量的決定方法,其中該電壓與電流探針係根據一預設準則所校正且位於該射頻產生器內部。
  8. 如申請專利範圍第7項之離子能量的決定方法,其中該預設準則為一標準。
  9. 如申請專利範圍第8項之離子能量的決定方法,其中該標準為國家標準與技術局(NIST)標準,其中該電壓與電流探針係與一開路電路、一短路電路、或一負載耦合以將該電壓與電流探針校正至遵循該NIST標準。
  10. 如申請專利範圍第1項之離子能量的決定方法,其中該第二複數電壓與電流包含一電壓值、一電流值及介於該電壓值與該電流值之間的相位。
  11. 如申請專利範圍第1項之離子能量的決定方法,其中該阻抗匹配模型之該一或多個元件包含電容器、電感器、或其組合,其中該阻抗匹配電路之該等電子元件包含電容器、電感、或其組合,其中該阻抗匹配模型之該一或多個元件的特性係類似於該阻抗匹配電路之該等電子元件之特性。
  12. 如申請專利範圍第1項之離子能量的決定方法,其中該晶圓偏壓係用於一系統中,其中該系統包含一RF傳輸線但排除在該RF傳輸線上的電壓探針。
  13. 如申請專利範圍第1項之離子能量的決定方法,更包含:基於在該RF傳輸線中所定義的電子元件產生一RF傳輸模型,該RF傳輸模型具有一輸入與一輸出,該RF傳輸模型的該輸入係耦合至該阻抗匹配模型的該輸出;及基於該電漿室之一靜電夾頭(ESC)的特性產生一靜電夾頭模型,該ESC模型具有一輸入,該ESC模型的該輸入係耦合至該RF傳輸模型的該輸出,其中該晶圓偏壓係在該ESC模型的輸出處決定。
  14. 如申請專利範圍第1項之離子能量的決定方法,其中該一或多個元件包含多個元件,其中使該第一複數電壓與電流自該阻抗匹配模型的該輸入傳輸通過該多個元件而到達該阻抗匹配模型之該輸出以決定該第二複數電壓與電流的步驟包含:基於該第一複數電壓與電流、及耦合於該阻抗匹配模型的該輸入與一中間節點之間之該阻抗匹配模之該多個元件其中一者以上的特性,決定在該阻抗匹配模型內之該中間節點的中間複數電壓與電流;及基於該中間複數電壓與電流、及耦合於該中間節點與該阻抗匹配模型之該輸出之間之該阻抗匹配模型的該多個元件其中一者以上的特性,決定該第二複數電壓與電流。
  15. 如申請專利範圍第1項之離子能量的決定方法,更包含:基於在該RF傳輸線中所定義的電路元件產生一RF傳輸模型,該RF傳輸模型具有一輸入與一輸出,該RF傳輸模型的該輸入係耦合至該阻抗匹配模型的該輸出,其中該RF傳輸模型包含一RF通道模型、一RF帶模型,該RF通道模型係耦合至該RF帶模型。
  16. 如申請專利範圍第1項之離子能量的決定方法,其中決定該離子能量包含:計算該晶圓偏壓與一係數的第一乘積;計算該峰值電壓與一係數的第二乘積;及計算該第一乘積與該第二乘積的和。
  17. 如申請專利範圍第1項之離子能量的決定方法,其中獲得該峰值電壓的步驟包含自該第二複數電壓與電流擷取該峰值電壓。
  18. 如申請專利範圍第17項之離子能量的決定方法,其中獲得該峰值電壓的步驟包含接收該峰值電壓的量測值。
  19. 一種決定離子能量的電漿系統,包含:一射頻(RF)產生器,用以產生射頻(RF)訊號,該RF產生器係與一電壓與電流探針相關,其中該電壓與電流探針係耦合至該RF產生器的一輸出以量測在該RF產生器之該輸出處的第一複數電壓與電流;一阻抗匹配電路,耦合至該RF產生器,其中該RF產生器的該輸出係經由一射頻纜線耦合至該阻抗匹配電路的一輸入;一電漿室,藉由一RF傳輸線而耦合至該阻抗匹配電路,該阻抗匹配電路具有耦合至該RF產生器之該輸出的一輸入及耦合至該RF傳輸線的一輸出;及一處理器,耦合至該RF產生器,該處理器係用以:從該電壓與電流探針接收該第一複數電壓與電流;基於在該阻抗匹配電路中所定義的電子元件產生一阻抗匹配模型,該阻抗匹配模型具有一輸入與一輸出,該阻抗匹配模型的該輸入接收該第一複數電壓與電流,該阻抗匹配模型具有一或多個元件;使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該一或多個元件以決定第二複數電壓與電流;獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量。
  20. 如申請專利範圍第19項之決定離子能量的電漿系統,其中該RF產生器係用以在2兆赫茲(megahertz)或27兆赫茲或60兆赫茲的頻率下操作。
  21. 如申請專利範圍第19項之決定離子能量的電漿系統,其中該處理器係用以:計算一係數與該晶圓偏壓的第一乘積;計算一係數與該峰值電壓的第二乘積;及計算該第一乘積與該第二乘積的和。
  22. 一種決定離子能量的電腦系統,該電腦系統包含:一處理器,用以:當一射頻(RF)產生器係藉由一阻抗匹配電路而耦合至一電漿室時,從一電壓與電流探針接收由該電壓與電流探針於該射頻產生器之一輸出處所測得的第一複數電壓與電流,其中該射頻產生器的該輸出係耦合至該阻抗匹配電路的一輸入,該阻抗匹配電路具有耦合至一RF傳輸線的一輸出;基於在該阻抗匹配電路中所定義的電子元件來產生一阻抗匹配模型,此阻抗匹配模型具有一輸入與一輸出,該阻抗匹配模型的該輸入接收該第一複數電壓與電流,該阻抗匹配模型具有一或多個元件;使該第一複數電壓與電流傳輸通過該阻抗匹配模型的該一或多個元件以決定第二複數電壓與電流;獲得一峰值電壓;基於該第二複數電壓與電流決定一晶圓偏壓;及基於該晶圓偏壓與該峰值電壓決定該離子能量;及一記憶體裝置,耦合至該處理器並用以儲存該離子能量。
  23. 如申請專利範圍第22項之決定離子能量的電腦系統,其中該處理器係用以:計算該晶圓偏壓與一係數的第一乘積;計算該峰值電壓與一係數的第二乘積;及計算該第一乘積與該第二乘積的和。
TW103108488A 2013-03-15 2014-03-11 使用模型化以建立與電漿系統相關的離子能量 TWI647735B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361799969P 2013-03-15 2013-03-15
US61/799,969 2013-03-15
US14/184,639 2014-02-19
US14/184,639 US9842725B2 (en) 2013-01-31 2014-02-19 Using modeling to determine ion energy associated with a plasma system

Publications (2)

Publication Number Publication Date
TW201503216A TW201503216A (zh) 2015-01-16
TWI647735B true TWI647735B (zh) 2019-01-11

Family

ID=51503908

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108488A TWI647735B (zh) 2013-03-15 2014-03-11 使用模型化以建立與電漿系統相關的離子能量

Country Status (3)

Country Link
KR (1) KR102162429B1 (zh)
CN (2) CN104051214B (zh)
TW (1) TWI647735B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
KR20170103661A (ko) * 2016-03-04 2017-09-13 램 리써치 코포레이션 보다 저 주파수 rf 생성기의 기간 동안 보다 고 주파수 rf 생성기를 향하여 반사된 전력을 감소시키고 그리고 반사된 전력을 감소시키도록 관계를 사용하기 위한 시스템들 및 방법들
KR20170117312A (ko) * 2016-04-13 2017-10-23 램 리써치 코포레이션 무선 주파수 값들을 사용함으로써 상태 전이들 동안 반사된 전력을 감소시키기 위한 시스템들 및 방법들
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US10306776B1 (en) * 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
US11476145B2 (en) * 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN114217101B (zh) * 2021-10-30 2023-06-13 荣耀终端有限公司 一种射频测试探针结构以及射频测试***

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003536268A (ja) * 2000-06-20 2003-12-02 サイエンティフィック システムズ リサーチ リミテッド プラズマプロセスにおける欠陥識別方法
US20040061448A1 (en) * 2002-09-26 2004-04-01 Lam Research Corporation, A Delaware Corporation Method for toolmatching and troubleshooting a plasma processing system
US20060278608A1 (en) * 2003-05-16 2006-12-14 Hoffman Daniel J Method of determining plasma ion density, wafer voltage, etch rate and wafer current from applied bias voltage and current

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3176128B2 (ja) * 1992-06-25 2001-06-11 株式会社ダイヘン インピーダンス整合器の出力電圧測定装置
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
JP5150053B2 (ja) * 2006-02-03 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101489345B (zh) * 2008-01-14 2011-07-27 北京北方微电子基地设备工艺研究中心有限责任公司 射频自动阻抗匹配方法及射频自动阻抗匹配器
CN101989524B (zh) * 2009-08-03 2012-09-05 北京北方微电子基地设备工艺研究中心有限责任公司 一种阻抗匹配器及等离子体处理设备
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN103456591B (zh) * 2012-05-31 2016-04-06 中微半导体设备(上海)有限公司 自动频率调谐源和偏置射频电源的电感耦合等离子处理室

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003536268A (ja) * 2000-06-20 2003-12-02 サイエンティフィック システムズ リサーチ リミテッド プラズマプロセスにおける欠陥識別方法
US20040061448A1 (en) * 2002-09-26 2004-04-01 Lam Research Corporation, A Delaware Corporation Method for toolmatching and troubleshooting a plasma processing system
US20060278608A1 (en) * 2003-05-16 2006-12-14 Hoffman Daniel J Method of determining plasma ion density, wafer voltage, etch rate and wafer current from applied bias voltage and current

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
K.Dobbyn, " Design and Application of a Plasma Impedance Monitor for RF Plasma Dignostics," M.S. Thesis, Dublin City University, June 2000 *

Also Published As

Publication number Publication date
TW201503216A (zh) 2015-01-16
CN108447759B (zh) 2020-07-24
CN108447759A (zh) 2018-08-24
KR20140113602A (ko) 2014-09-24
KR102162429B1 (ko) 2020-10-07
CN104051214A (zh) 2014-09-17
CN104051214B (zh) 2018-03-13

Similar Documents

Publication Publication Date Title
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
JP6483880B2 (ja) ウェハバイアスを決定するための方法およびプラズマシステム
US10319570B2 (en) Determining a malfunctioning device in a plasma system
TWI647735B (zh) 使用模型化以建立與電漿系統相關的離子能量
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10008371B2 (en) Determining a value of a variable on an RF transmission model
TWI685664B (zh) 使用模型化以識別電漿系統用射頻傳輸系統中的故障位置
JP2014195044A5 (zh)