TWI644383B - 線內扇出系統 - Google Patents

線內扇出系統 Download PDF

Info

Publication number
TWI644383B
TWI644383B TW106129225A TW106129225A TWI644383B TW I644383 B TWI644383 B TW I644383B TW 106129225 A TW106129225 A TW 106129225A TW 106129225 A TW106129225 A TW 106129225A TW I644383 B TWI644383 B TW I644383B
Authority
TW
Taiwan
Prior art keywords
carrier
chamber
compartment
substrate
vacuum environment
Prior art date
Application number
TW106129225A
Other languages
English (en)
Other versions
TW201820505A (zh
Inventor
泰瑞 布拉克
泰瑞 彼得森
威廉尤金 倫史塔德勒二世
Original Assignee
因特瓦克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 因特瓦克公司 filed Critical 因特瓦克公司
Publication of TW201820505A publication Critical patent/TW201820505A/zh
Application granted granted Critical
Publication of TWI644383B publication Critical patent/TWI644383B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明提供一種用於晶片扇出封裝處理的系統。在該系統中可將多個微晶片封裝在模塑料中。該系統包括:一個大氣裝載腔室,配置成可在大氣環境中將基板裝載到載具上;一個進入裝載裝置,配置成可將該載具引入該系統的真空環境中;一個脫氣腔室,位於該裝載裝置的下游側,並配置在該真空環境中,該脫氣腔室包括加熱元件和泵送裝置,用於除去從該模塑料排出的氣體;一個蝕刻腔室,位於該脫氣腔室的下游側,並配置在該真空環境中,該蝕刻腔室包括一個離子束產生器和一個離子中和器;一個金屬濺鍍腔室,位於蝕刻腔室下游側,並配置在該真空環境中;以及一個送出裝載裝置,配置成可將該載具從該真空環境中移出。

Description

線內扇出系統
本申請案主張於2016年8月29日提交的美國專利臨時申請案,案號62/380,927的優先權,該案全部內容併入本案做為參考。
本發明是關於微晶片的封裝技術,特別是關於及使用晶圓或面板級的扇出封裝方法來封裝微晶片的技術。
在扇出封裝技術家族中,主要有兩種先進的半導體封裝技術。該兩種技術分別是晶圓級扇出封裝(FOWLP)技術與面板級扇出封裝(FOPLP)技術。在FOWLP面向,是使用鋸從經過加工,並含有多數已經製作完成的晶片的晶圓,分離晶粒。再將個別的晶粒放置在晶圓狀的基板上,套合到低成本的材料,例如環氧模塑料(EMC),聚酰亞胺等(以下統稱為「模塑料」)上,以植布到模塑料中。以這種方式形成的是犧牲晶圓,通常稱為重構晶圓,在每兩個晶粒之間會分配空間,用於附加I / O連接點。之後形成重分布層(Redistribution Layers – RDL),以將晶粒上的I / O連接重新導引到外圍的模塑料區域。由於重構晶圓與標準矽晶圓具有相似的尺寸和形狀,所以可以使用標準半導體加工系統來完成對RDL的加工。
雖然模塑料對於上述應用而言,是一種具有成本效益的材料,但是當暴露於大氣時卻容易吸收水分。在上述重分布過程中,從模塑料中所逸散的氣體則可能對於裝置的接觸電阻(稱為RC或RVIA)產生不利影響,因此有效的脫氣加工至關重要。然而,模塑料具有低於約120℃的低熱預算(thermal budget),才能防止分解及避免晶片過度翹曲。因此,有效的脫氣加工需要使用較低的溫度和較長的處理時間。結果,如果在傳統的基於單晶圓的脫氧系統進行脫氧,產量將是顯著降低。
面板級扇出封裝技術與FOWLP技術有若干相似。不同之處在於晶粒是配置在大型的矩形托盤上,且模具材料是在托盤上流動。由於托板的尺寸和形狀,RDL並無法在標準的半導體加工設備中進行處理。然而根據尺寸的不同,而可以使用平面顯示器加工設備(通常稱為Gen 2或Gen 3)進行處理。
因此,目前業界需要有一種解決方案,可以進行FOWLP和FOPLP加工,以形成該重分布層,但同時可以保持高的產量,以在較低的熱預算下提供有效的除氣加工,並且可以清潔電接點,而不會對晶粒造成任何損傷,特別是可以避免靜電損傷(electrostatic damage – ESD) 。
以下對本發明的簡述,目的在於對本發明之數種面向和技術特徵作一基本說明。發明簡述並非對本發明的詳細表述,因此其目的不在特別列舉本發明的關鍵性或重要元件,也不是用來界定本發明的範圍。其唯一目的是以簡明的方式呈現本發明的數種概念,作為以下詳細說明的前言。
本說明書所公開的實施例提出可以解決上述技術難題的方案。本發明的實施例可用來執行FOWLP和FOPLP加工,以形成重分布層,同時還可保持高產量,在低熱預算下提供有效的除氣效果,並能清潔電接點而不會對晶粒造成任何損傷,特別是可以避免靜電損傷(ESD)。
為了在不破壞真空的情況下進行加工,本發明設計出數種實施例和技術特徵。本發明可將電接點發生氧化的可能性降至最低。然而,不同的加工方法所需的處理時間不同。因此,本發明乃提供可以實現在真空中連續加工,並能節省處理時間的解決方案。
根據本發明所公開的實施例,本發明乃是提供一種用於晶片扇出封裝處理的系統。在該系統中可將多個微晶片封裝在模塑料中。該系統包括:一個大氣裝載腔室,配置成可在大氣環境中將基板裝載到載具上;一個進入裝載裝置,配置成可將該載具引入該系統的真空環境中;一個脫氣腔室,位於該裝載裝置的下游側,並配置在該真空環境中,該脫氣腔室包括加熱元件和泵送裝置,用於除去從該模塑料排出的氣體;一個蝕刻腔室,位於該脫氣腔室的下游側,並配置在該真空環境中,該蝕刻腔室包括一個離子束產生器和一個離子中和器;一個金屬濺鍍腔室,位於蝕刻腔室下游側,並配置在該真空環境中;以及一個送出裝載裝置,配置成可將該載具從該真空環境中移出。
根據本發明所公開的一種面向,本發明提供一個脫氣腔室,包括:第一隔間和第二隔間,以及分隔第一和第二隔間的隔板,該隔板具有一個開口,使得載具能夠從第一隔間傳送到第二隔間;一個進入閘閥,位在該第一隔間中;一個加熱器,位於第一隔間中;以及一個出口閘閥,位在該第二隔間中。該脫氣腔室還可以包括低溫及/或渦輪分子泵。該脫氣腔室還可以包括:一個下降機構,位在該第一隔間內,並配置成可在系統的每個處理循環中將該載具下降一階;一個上升機構,位在該第二隔間內,並配置成可在該系統的每個處理循環中將該載具升高一階;以及一個直線傳送裝置,配置成可在該系統的每個處理循環中,將該載具從該第一隔間水平傳送到該第二隔間。
根據本發明另外的面向,本發明提供一種蝕刻腔室,包括:一個上方隔間,配置成可以維持電漿;一個下方隔間,配置成可使從該電漿提取的離子形成離子束;一個提取格柵,用以分離該上方隔間與該下方隔間,該提取柵格耦接到一電壓電位,以將該電漿中的離子提取到該下方隔間中;以及一個中和器,用以提供電子到該下方隔間中。該上方隔間可以進一步包括一個介電質窗口,且該蝕刻腔室還包括一個RF天線,位於介電質窗口上方。
根據本發明另外的面向,本發明提供用於扇出處理系統的載具,每個載具包括:一個散熱器;一個閉孔墊,位於該散熱器頂部;以及一個框架,配置成可將該基板夾持在該閉孔墊頂部上。該載具還可以包括磁體,定位成可將該框架附接到該散熱器。該載具還可以包括一個罩蓋,設置在該框架上方,用以保護該框架免於顆粒沉積其上。
本發明的系統還可以包括一個回送傳送裝置,位於該加工腔室上方且位在大氣環境中。一個後方升降機在該載具離開該出口閘閥後,將該載具提升到該傳送裝置。一個前方升降機將該載具從該傳送裝置降低到該基板交換腔室,以從該載具上移除經處理的基板並將未經處理的基板裝載到該載具上。
根據本發明另外的面向,本發明提供一種用於加工扇出基板的方法,包括以下步驟:在大氣環境中將基板裝載到載具上;將該載具移轉到裝載裝置中,以將該載具引入該加工系統的真空環境中;在真空環境中且不離開該真空環境,執行以下步驟:將該載具移轉到一個脫氣腔室中,並且在該載具甫進入該脫氣腔室時加熱該載具,之後使該基板冷卻,同時連續抽空從該基板排出的任何氣體;將該載具移轉到一個蝕刻腔室中,同時在該蝕刻腔室中以經中和的離子轟擊該基板;移轉該載具通過一系列沉積腔室,並在每個沉積腔室中將一層金屬層沉積到該基板上;將該載具移轉到一個送出裝載裝置中,以將該載具從真空環境中移出;在該載具已從真空環境中移出後,將該載具裝載到一個傳送裝置上,並將傳送裝置回送到一個基板交換站,以卸載經處理的基板並裝載未經處理的基板。
本發明的其他技術特徵和面向可由以下詳細說明,並參考所附圖式更形清楚。
以下參照附圖說明本發明的扇出處理系統與方法的數種實施例。不同的實施例或其組合可以提供在不同的應用中或實現不同的優點。根據所要實現的結果,可以將本說明書所公開的不同技術特徵全部或部分利用,也可以單獨使用或與其他技術特徵結合使用,從而在需求與限制之間,求得平衡的優點。因此,參考不同的實施例可能會突顯特定的優點,但本發明並不限於所公開的實施例。也就是說,本說明書公開的技術特徵並不限於應用在所描述的實施例,而是可以與其他技術特徵「組合和配合」,並結合在其他實施例中。
以下描述的各種實施例和技術特徵是設計成可以以系列方式執行扇出處理,而不須離開真空環境,以將電接點氧化的可能性降到最低,並確保金屬化可以施加在完全整備且未氧化的表面上。然而,不同的加工程序所需要的處理時間不同。關於這點,在本發明的目的下,本說明書所謂的「加工時間」是指從開始對一個單元進行處理的時間,與開始對下一個單元進行處理的時間(包括所需的單元傳送和轉化時間)之間的時間段。對於不同的扇出處理步驟,其加工時間長可能會有顯著的差異。例如,濺鍍導電種子層的加工時間可能是一分鐘,但除氣的加工時間則可能需要半小時。因此,當然的結果會是認為應該將這兩種加工程序在兩個不同的系統中執行。然而,本發明人認為,在不同系統中執行兩種加工製程可能導致電接點劣化。因此,本發明的實施例乃是設計成使所有的加工程序都能夠在不脫離真空的環境下,在單一系統中執行,雖然這些加工程序所需的加工時間會有相當差異。
圖1顯示根據本發明一個實施例用於扇出處理的系統的一般架構。該實施例中的系統是設計成在特別指定的位置提供進入和離開真空的進出點,以加速基板的輸送和加載/卸載,同時可在真空部分中維持高品質的處理。該系統設計成用來容納載具,例如圖2A-2C所示的載具。而載具則設計成可以承載基板,該基板可為面板或重構晶圓的形式。例如,圖2A顯示的是一個設計成可承載兩片面板的寬載具,每片面板的尺寸例如為450mm×650mm。但圖2B則顯示兩個載具,每個載具都配置成可承載兩片350mm×450mm的面板。圖2C也顯示兩個載具,每個載具都配置成可承載兩片重構的300mm晶圓。為了簡化說明起見,在本說明書全部內容中,重構的晶圓和面板都稱為基板,而載具則是指圖2A-2C所示的載具中的任何一種。
如圖1所示,該系統包括用於執行各種功能的各種模組。系統架構是設計成使得每個模組的加工時間都相同,使得在任何模組中都不會有閒置時間。基板是在裝載/卸載模組105中裝載到載具上,該步驟是在大氣環境中執行。載具是從大氣中的傳送裝置140,以回送升降機108送達。載具是在系統的末端,以位在該處的載具升降機135抬升,置於該傳送裝置140上。該載具回送升降機108,載具升降機135與該傳送裝置140都處於大氣壓力下。載具在裝載後即通過載具回送模組,並通過閘閥111,而進入低度真空模組110。載具回送模組從傳送裝置140接收已經承載基板的載具,以卸載經處理的基板,並裝載未經處理的基板。載具從低度真空模組110通過閘閥112而進入高真空模組115。
在高真空模組115的下游側,相關的加工即在高真空下進行。然而,從模塑料脫氣可能對於加工的品質產生不利的影響,且實際上可能導致所製得的裝置無法操作。因此,在本實施方式中,在基板一進入高真空環境後,即進行脫氣處理。脫氣通常可以通過將物品加熱到高溫來進行。然而,扇出處理裝置中所使用的模塑料並不能承受高溫。因此,必須在相當低的提高溫度(例如120℃)下進行,但所需時間較長。然而,對基板做長時間的加熱卻會違反使每個模組都保持一定的加工時間的目的。此外,要在基板上進行的加工處理也會對基板加熱。在此情形下,如果將加熱後的基板直接移動到處理腔室中,則額外增加的熱量可能超過模塑料所能容受的熱預算。
在本實施例中,乃是使用特別設計的脫氣腔室120。該脫氣腔室120設計成可與其它腔室的加工時間相匹配,且同時能夠符合基板的熱預算。具體而言,在本發明一個實例中,該脫氣腔室120是分成兩區段:在第一區段中使載具進入該區段的頂部,以加熱元件121將基板加熱到所需溫度。在一個實施例中,該加熱元件121包括一排紅外光燈。在該第一區段中,載具在每個處理循環中向下方行進(如圖1中的U形箭頭所示)。在此期間,由於基板受熱而逸出的氣體被泵出,並開始使基板冷卻。當基板到達第一區段的底部時,將基板以水平朝向移動到第二區段。在該第二區段也執行連續的泵送以除去仍然從模塑料中排出的任何氣體。在第二區段中,該載具在每個循環中向上移動,且在載具到達該第二區段的頂部時,使基板朝水平方向移動,以通過閘閥114而離開脫氣腔室120。在這種設計下,從第一區段的頂部位置開始,載具在每個處理循環中行進到脫氣腔室120內的另一個位置。所需的位置數是配置成可使每個處理循環保持恆定的加工時間。例如,如果將加工時間設定為1分鐘,且能確定基板的加熱,脫氣和冷卻的適當週期需要30分鐘時,則將該脫氣腔室120設計成具有30個位置。在此設定下,每分鐘將一個新載具送進該脫氣腔室120,並將一個已經脫氣並冷卻的載具送離該脫氣腔室120。
可以在圖1的系統中使用的脫氣腔室的實例顯示在圖3中。該脫氣腔室320具有由隔板323隔開的兩個隔間320A和320B。隔間323並沒有一直延伸到底部,而是在底部設置一個開口,使載具能夠通過該開口從艙室320A水平地移動到艙室320B。載具在脫氣腔室320的第一隔間320A的頂部經由閘閥313進入,之後以加熱器321對該基板加熱。其後,在每個處理循環中,該載具箭頭方向下降一階。一旦載具已經到達底部,即將載具以水平朝向移動到第二隔間320B。然後反轉移動方向,使載具在每個週期中向上移動一階,直到達到頂部為止。然後使載具通過閘閥314離開。在整個輸送過程中,所釋放的氣體是由低溫板或面板350吸收,且兩隔間由渦輪分子泵355抽氣。
根據系統設計的不同,也可以在脫氣腔室120之後提供可選用的緩衝模組125。緩衝模組125可用於提供加工時間的變化度,並且還為基板提供額外的冷卻時間。如果系統是設計成以不同尺寸的基板進行操作,每個基板需要不同的加工時間,則可以包括該緩衝模組125。另一種替代的作法是控制脫氣腔室內的載具的移動速度,以配合所需的加工時間。例如,可以改變載具在脫氣腔室內每次下降和上升的間距,使得載具保持在脫氣腔室內的期間實際上包括較少或較多的循環。
圖1的系統的目的是形成金屬線以產生重分布層。然而,為了控制接觸電阻,在形成任何金屬連接之前,必須先去除先前形成在接觸層中的任何氧化物。此外,一旦將氧化物去除,應使基板處於受控環境中,以確保在形成金屬線和接觸之前不再發生氧化。因此,在圖1的實施例中,在金屬化處理腔室130之前提供了獨特的預清潔蝕刻腔室127。該預清潔蝕刻腔室127利用電漿產生中和的顆粒束,通過物理蝕刻的方式清除接點上的任何氧化物,才送入腔室130中形成金屬化層。值得注意的是,在該實施例中,中和的顆粒束是用來蝕刻基板的整個表面,即金屬接點和模塑料。蝕刻該模塑料的優點是有助於將濺鍍的金屬粘附到其下方的模塑料上,以防止沉積的金屬線發生剝離或斷裂。此外,在本實施例中,從電漿產生的離子束被中和,從而提供中和的顆粒束,因此不會損壞ESD敏感器件。
圖4是根據本發明實施例的中和顆粒束預清潔(蝕刻)腔室427的示意圖。預清潔腔室427具有上方隔間400A和下方隔間400B,兩者由導電柵格402分隔開。上方隔間400A是配置成可通過介電窗口406施加來自線圈404的RF輻射,以維持電漿。而柵格裝置402則配置成可從電漿中提取離子,並將離子加速到下方隔間400B中。該下方隔間是配置成可將從電漿提取的離子,以及從電漿橋引式中和器403發射的電子形成光束,並通過開口407將離子和電子引導到基板上。該電漿橋引式中和器403可為熱燈絲型,電漿橋型或空心陰極管型電漿橋引式中和器,用來將電子引入下方隔間400B,使得電子可以中和從電漿提取的正離子。在該實施例中,由於產生寬的離子束,所以引入光束中的電子密度可以大約等於離子的密度,從而產生「空間電荷中和」(space-charge neutralization)作用。通過將電子引入離子束中的做法,可以防止在基板上發生電荷積聚。此外,通過改變注入到上方隔間中的前軀氣體,可以控制離子物種的類型。與此類似,通過控制RF輻射的功率,可以控制電漿的密度。而通過控制施予提取柵格上的電位,可以控制離子的能量和密度。因此,該實施例提供精確控制蝕刻的能力,同時也可將對基板內的電路的損害降到最低。
如上所述,在預清潔腔室中所進行的處理是蝕刻基板的表面。該步驟可以包括同時蝕刻所有暴露的金屬線,金屬接點,模塑料和任何介電質層。此後,該載具仍處在真空環境中,將該載具移轉到第一沉積腔室。為了確保在加工時,金屬線仍然保持在清潔狀態,該第一金屬化腔室乃是直接與該預清潔腔室鄰接,並提供一個分隔兩個腔室的閘閥。因此可以將載具從預清潔腔室直接移轉到第一金屬化腔室中。
金屬層是使用一系列的加工腔室形成,即圖1中標為130的加工腔室以及其後以3個黑點表示多數沉積處理腔室,各腔室配置成提供金屬化所需的條件 。在本發明一個實施例中,是使用第一的加工腔室來濺鍍鈦(Ti)及/或鈦鎢(TiW),用來作為例如良好的粘合和隔離層。 Ti及/或TiW層可以使用一個或多個加工腔室130形成,其數量取決於所需的厚度。次一層是以在濺鍍腔室中濺鍍銅(Cu)及/或鋁(Al)形成。具體而言,如果要形成銅線,則該加工腔室是用於濺鍍一層薄層的銅,做為在圖1的系統中處理之後的電鍍步驟中所使用的種子層。如果是要形成鋁線,則可以串接一個或多個濺鍍腔室,形成序列,以形成相當厚的鋁層,用來形成實際所需的導線。這種方式可以不須使用電鍍步驟,但可能使導線的電氣性能降低。
如上所述,在加工過程中必須掌控熱預算。因此,該載具是構造成在加工過程中可從基板除去熱量。圖5中提供一個適用的實例,並顯示該載具的截面。圖5所示的實例是應用於面板的矩形載具,但是也可以應用於圓形的重構晶片。如圖5所示,面板560是以框架566保持在載具上。在該實施例中,框架566是以磁體563固定,並且以罩蓋568覆蓋,以防止有顆粒沉積其上。在一定數量的處理循環之後,如果罩蓋568上已經累積到不可接受量的沉積材料,則可加以清潔,或者只需更換罩蓋即可。框架的本體上結合一個散熱器564。使用框架566將面板夾持到該散熱器564,即可確保在處理期間面板能夠保持平整。從面板560到散熱器564的熱傳送效率可通過閉孔墊或其它熱傳送墊562增強。當載具進入系統內部的真空條件後,閉孔即會膨脹,從而迫使閉孔墊562與面板560和散熱器564緊密接觸,使得傳熱增強。此外,在載具離開系統的真空環境,而位在該頂部傳送裝置140(圖1)上回送時,散熱器即會降溫,成為可接受下一個面板的狀態。
圖6中顯示該載具的另一種實施例。在圖6中,基板660是以真空通道669施加真空,而保持在載具的散熱器664上。這種方式可以確保該基板在加工過程中保持平坦。此外,也可以使用磁體663或其他機械夾持裝置來保持該框架或罩幕666。
在這種線內載具型態的PVD系統,主要的技術難題之一是要防止在載具側邊和底部發生沉積。在頂層發生的沉積並不是顆粒的主要來源,因為這些顆粒是從材料源直接沉積,而不是從背側散射。此外,如果從頂部沉積的顆粒鬆散,則通常可從表面移除。圖7所示的實施例即是要解決側邊/背面沉積顆粒的污染問題。圖7的實施例主要是配置成可從載具側/背面除去鬆散的顆粒,並將顆粒從載具上移除。圖7顯示多個顆粒去除裝置,但在應用上可以僅使用其中任何一個顆粒去除裝置,也可以使用多個去除裝置的組合。也就是說,該系統可以具有圖7所示的顆粒去除裝置中的一種或其組合。
在圖7的實施例中,當傳送裝置740和載具回送升降機708都處於大氣壓力下時,仍將兩者都封閉在一個受控的清潔環境內。載具701在封閉的傳送裝置740和封閉的升降機內沿方框箭頭所示的方向移送。此外,U形箭頭也顯示,當載具到達載具回送升降機708的底部時,會向左離開,以卸載經處理的基底,並且裝載未經處理的基底到載具701上。然後載具701又返回升降機,並行進到低度真空710環境中。
第一顆粒去除裝置770是以符號770表示。排氣風扇771將空氣通過排氣過濾器772從傳送裝置的內部吸出。在本發明一個實施例中,該排氣過濾器772具有增粘能力,以便捕捉及保持從該載具逸出的顆粒。新鮮空氣是通過具有進氣過濾器773的開口供應。圖中的虛線箭頭表示氣流。
第二顆粒去除裝置是以符號775表示。在該裝置中是使用多個排氣風扇771,以將空氣從傳送裝置的殼體內排出,每個排氣風扇具有相應的排氣過濾器772,排氣過濾器772可具有增粘能力或不具增黏能力。自由流動開口可設置過濾器704,或以過濾器704取代。風刀776位於載具下方。當載具701通過風刀776時,風刀776將氣流或氮氣流引導到載具的底部。顆粒被風刀776移除後,由過濾器將顆粒捕捉在過濾器中。
第三顆粒去除裝置是以符號780表示。在該裝置中有一個或多個排氣風扇771設置在電梯708的殼體上。每個排氣風扇771具有與其相聯的排氣過濾器772。而在相反側則設有進氣口,每個進氣口具有進氣過濾器773。因此,當載具701下降時,可由排氣風扇771產生的水平方向氣除去載具701上的顆粒,顆粒再由排氣過濾器772收集。
本發明的數種實施例既已說明如上,其中每個實施例的說明中都針對某些特徵和元件描述。然而應當理解的是,使用在一個實施例中的技術特徵和元件都可以與其他實施例中的其他技術特徵和元件一起使用。同時,以上的說明目的在涵蓋可能的實施方式,但並非用來明確的描述所有可能的組合,以避免敘述雜亂。
應當理解的是,本說明書所描述的程序和技術並非必然與任何特定裝置相關,並且可以通過各種元件的任何合適的組合來實現。此外,可以根據本說明書的教導,使用各種類型的通用設備達成本發明。本發明已經根據具體的實施例描述如上,但說明內容無論如何都只是在說明,而不是用來限制本發明。本領域技術人員都可理解,許多不同的組合方式都可適用於實施本發明。
此外,只要閱讀本件專利說明書並實踐說明書所記載的發明,本發明的其他實施方式對於此行業人士即屬顯而易見,而能推知。所述的實施例中的各種面向及/或元件可以單獨使用,也可以任何組合方式使用。 因此,本專利說明書及其實施例的說明,目的僅是示例,不得用以限制本發明之範圍。本發明的真實範圍應由以下的申請專利範圍所規範 。
105‧‧‧裝載/卸載模組
108、708‧‧‧回送升降機
110、132‧‧‧度真空模組
111、112、113、 114、116、117、118、119 、313、 314‧‧‧閘閥
115、131‧‧‧高真空模組
120、320‧‧‧脫氣腔室
125‧‧‧緩衝模組
127‧‧‧預清潔蝕刻腔室
130‧‧‧金屬化處理腔室
135‧‧‧載具升降機
140‧‧‧傳送裝置
320A、320B‧‧‧隔間
321‧‧‧加熱器
323‧‧‧隔板
350、560‧‧‧面板
355‧‧‧渦輪分子泵
400A‧‧‧上方隔間
400B‧‧‧下方隔間
402‧‧‧柵格
403‧‧‧電漿橋引式中和器
404‧‧‧線圈
406‧‧‧介電窗口
407‧‧‧開口
562‧‧‧熱傳送墊
563、663‧‧‧磁體
564、664‧‧‧散熱器
566‧‧‧框架
568‧‧‧罩蓋
660‧‧‧基板
666‧‧‧框架 罩幕
669‧‧‧真空通道
701‧‧‧載具
704‧‧‧過濾器
710‧‧‧低度真空
770‧‧‧第一顆粒去除裝置
771‧‧‧排氣風扇
772‧‧‧排氣過濾器
773‧‧‧進氣過濾器
775‧‧‧第二顆粒去除裝置
776‧‧‧風刀
780‧‧‧第三顆粒去除裝置
所附的圖式納入本專利說明書中,並成為其一部份,是用來例示本發明的實施例,並與本案的說明內容共同用來說明及展示本發明的原理。圖式的目的旨在以圖型方式例示本發明實施例的主要特徵。圖式並不是用來顯示實際上的範例的全部特徵,也不是用來表示其中各個元件之相對尺寸,或其比例。
圖1顯示根據本發明用於扇出處理的系統實施例的系統圖 ; 圖2A-2C是顯示在本發明所公開的實施例中,用於支撐不同基板的載具示意圖 ; 圖3顯示在本發明所公開的實施例中的脫氣腔室示意圖 ; 圖4顯示在本發明所公開的實施例中的中和顆粒束預清潔處理腔室示意圖 ; 圖5顯示在本發明所公開的實施例中的載具截面圖 ; 圖6顯示在本發明所公開的實施例中的載具示意圖 ; 圖7顯示在本發明所公開的實施例中從載具上移除顆粒的示意圖。

Claims (18)

  1. 一種用於晶片扇出封裝處理的系統,在該系統中可將多個微晶片封裝在模塑料中;該系統包括:一個大氣裝載腔室,配置成可在大氣環境中將基板裝載到載具上;一個進入裝載裝置,配置成可將該載具引入該系統的真空環境中;一個脫氣腔室,位於該裝載裝置的下游側,並配置在該真空環境中,該脫氣腔室包括加熱元件和泵送裝置,用於除去從該模塑料排出的氣體;一個蝕刻腔室,位於該脫氣腔室的下游側,並配置在該真空環境中,該蝕刻腔室包括一個離子束產生器和一個離子中和器;一個金屬濺鍍腔室,位於該蝕刻腔室下游側,並配置在該真空環境中;以及一個送出裝載裝置,配置成可將該載具從該真空環境中移出;其中,該脫氣腔室包括:第一隔間和第二隔間,以及分隔第一和第二隔間的隔板,該隔板具有一個開口,使得載具能夠從第一隔間傳送到第二隔間;一個進入閘閥,位在該第一隔間中;一個加熱器,位於該第一隔間中;以及一個出口閘閥,位在該第二隔間中。
  2. 如申請專利範圍第1項的系統,其中該脫氣腔室另包括:一個下降機構,位在該第一隔間內,並配置成可在系統的每個處理循環中將該載具下降一階;一個上升機構,位在該第二隔間內,並配置成可在該系統的每個處理循環中將該載具升高一階;以及一個直線傳送裝置構,配置成可在該系統的每個處理循環中,將該載具從該第一隔間水平傳送到該第二隔間。
  3. 如申請專利範圍第1項的系統,其中該脫氣腔室另包括低溫板以及至少一個渦輪分子泵。
  4. 一種用於晶片扇出封裝處理的系統,在該系統中可將多個微晶片封裝在模塑料中;該系統包括:一個大氣裝載腔室,配置成可在大氣環境中將基板裝載到載具上;一個進入裝載裝置,配置成可將該載具引入該系統的真空環境中;一個脫氣腔室,位於該裝載裝置的下游側,並配置在該真空環境中,該脫氣腔室包括加熱元件和泵送裝置,用於除去從該模塑料排出的氣體;一個蝕刻腔室,位於該脫氣腔室的下游側,並配置在該真空環境中,該蝕刻腔室包括一個離子束產生器和一個離子中和器;一個金屬濺鍍腔室,位於該蝕刻腔室下游側,並配置在該真空環境中;一個送出裝載裝置,配置成可將該載具從該真空環境中移出;一個封閉的載具回送傳送裝置;一組風刀,位於該封閉的載具回送傳送裝置內,並定位成可對一個通過的載具下方側噴吹氣流;及一個排氣過濾器,用以捕捉被該氣流從該載具中移除的顆粒。
  5. 如申請專利範圍第1或4項的系統,其中該蝕刻腔室包括:一個上方隔間,配置成可以維持電漿;一個下方隔間,配置成可使從該電漿提取的離子形成離子束;一個提取格柵,用以分離該上方隔間與該下方隔間,該提取格柵耦接到一電壓電位,以將該電漿中的離子提取到該下方隔間中;以及一個中和器,用以提供電子到該下方隔間中。
  6. 如申請專利範圍第5項的系統,其中該上方隔間進一步包括一個介電質窗口,且該蝕刻腔室還包括一個RF天線,位於介電質窗口上方。
  7. 如申請專利範圍第6項的系統,其中該中和器包括一個電漿橋引式中和器。
  8. 如申請專利範圍第1或4項的系統,其中每個載具包括一個散熱器;一個熱傳送墊,位於該散熱器頂部;以及一個框架,配置成可將一片基板夾持在該熱傳送墊頂部上。
  9. 如申請專利範圍第8項的系統,其中每個載具另包括磁體,定位成可將該框架附接到該散熱器。
  10. 如申請專利範圍第8項的系統,其中每個載具另包括一個罩蓋,設置在該框架上方,用以保護該框架免於顆粒沉積其上。
  11. 如申請專利範圍第1或4項的系統,其中每個載具另包括一個內部具有冷卻流體通道的散熱器,以及一個夾鉗,用以將該基板夾持在該散熱器上。
  12. 如申請專利範圍第1或4項的系統,另包括一個基板回送傳送裝置,位在大氣環境中,並建置成可將載具回送,以供加載未經處理的基板,該基板回送傳送裝置包括一個顆粒移除裝置,建置成可從該載具上移除顆粒。
  13. 如申請專利範圍第12項的系統,另包括:一個加載升降機,建置成可將基板從該基板回送傳送裝置下降到位在該進入裝載裝置的上游側,以及一個回送升降機,位在該送出裝載裝置的上游側,並建置成可將載具抬升到該基板回送傳送裝置上。
  14. 如申請專利範圍第1或4項的系統,其中該金屬濺鍍腔室緊鄰該預清潔腔室,並另包含一個閘閥,位在該預清潔腔室與該金屬濺鍍腔室之間。
  15. 如申請專利範圍第14項的系統,另包括多數的沉積腔室,位在該金屬濺鍍腔室的下游側。
  16. 如申請專利範圍第15項的系統,其中該金屬濺鍍腔室包括一個鈦濺鍍腔室或一個鈦鎢濺鍍腔室,且該濺鍍腔室中至少一個包括一個銅沉積腔室。
  17. 如申請專利範圍第15項的系統,其中該金屬濺鍍腔室包括一個鈦濺鍍腔室或一個鈦鎢濺鍍腔室,且該濺鍍腔室中至少一個包括一個鋁沉積腔室。
  18. 如申請專利範圍第1或4項的系統,另包括一個加載升降機,建置成可移送將要裝載基板的載具,該加載升降機包括一個顆粒移除裝置,建置成可從該載具上移除顆粒。
TW106129225A 2016-08-29 2017-08-28 線內扇出系統 TWI644383B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662380927P 2016-08-29 2016-08-29
US62/380,927 2016-08-29

Publications (2)

Publication Number Publication Date
TW201820505A TW201820505A (zh) 2018-06-01
TWI644383B true TWI644383B (zh) 2018-12-11

Family

ID=61243390

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106129225A TWI644383B (zh) 2016-08-29 2017-08-28 線內扇出系統

Country Status (3)

Country Link
US (1) US10418260B2 (zh)
TW (1) TWI644383B (zh)
WO (1) WO2018044947A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418260B2 (en) 2016-08-29 2019-09-17 Intevac, Inc. In line fan out system

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200350188A1 (en) * 2019-05-02 2020-11-05 Intevac, Inc. Inline vacuum processing system with substrate and carrier cooling
CN113823586B (zh) * 2021-11-24 2022-02-18 江西萨瑞微电子技术有限公司 一种开路保护器件的封装***
US20230343727A1 (en) * 2022-04-23 2023-10-26 Plasma-Therm Nes Llc Electrostatic discharge prevention in ion beam system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201336098A (zh) * 2012-01-03 2013-09-01 Applied Materials Inc 鈍化矽晶太陽能電池的先進平台
TW201348484A (zh) * 2012-04-26 2013-12-01 Intevac Inc 供物理氣相沈積製程使用之窄型濺鍍源
TW201413030A (zh) * 2012-09-07 2014-04-01 Applied Materials Inc 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理
TW201436653A (zh) * 2012-12-19 2014-09-16 Canon Anelva Corp 柵板組件及離子束蝕刻裝置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
WO1996032520A1 (en) 1995-04-14 1996-10-17 Spectra-Physics Lasers, Inc. Method for producing dielectric coatings
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000286319A (ja) 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US8794896B2 (en) 2005-12-14 2014-08-05 Tokyo Electron Limited Vacuum processing apparatus and zonal airflow generating unit
US7618893B2 (en) 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
JP5425547B2 (ja) 2008-07-31 2014-02-26 キヤノンアネルバ株式会社 基板処理装置、及び磁気記録媒体の製造方法
NL2001910C (en) 2008-08-22 2010-03-10 Otb Solar Bv Conveyor assembly and method for conveying a substrate.
CN104091777B (zh) * 2009-11-17 2017-09-12 伊帆科技股份有限公司 用于处理基材的设备
JP2013045877A (ja) 2011-08-24 2013-03-04 Tokyo Electron Ltd 基板処理装置
TWI644383B (zh) 2016-08-29 2018-12-11 因特瓦克公司 線內扇出系統

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201336098A (zh) * 2012-01-03 2013-09-01 Applied Materials Inc 鈍化矽晶太陽能電池的先進平台
TW201348484A (zh) * 2012-04-26 2013-12-01 Intevac Inc 供物理氣相沈積製程使用之窄型濺鍍源
TW201413030A (zh) * 2012-09-07 2014-04-01 Applied Materials Inc 多腔室真空系統中之多孔介電質、聚合物塗佈基材以及環氧化物之整合處理
TW201436653A (zh) * 2012-12-19 2014-09-16 Canon Anelva Corp 柵板組件及離子束蝕刻裝置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418260B2 (en) 2016-08-29 2019-09-17 Intevac, Inc. In line fan out system

Also Published As

Publication number Publication date
TW201820505A (zh) 2018-06-01
WO2018044947A1 (en) 2018-03-08
US20180061689A1 (en) 2018-03-01
US10418260B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
TWI644383B (zh) 線內扇出系統
KR100768598B1 (ko) 기판을 가열 및 냉각하는 방법 및 장치
US6562141B2 (en) Dual degas/cool loadlock cluster tool
US6486444B1 (en) Load-lock with external staging area
US5674786A (en) Method of heating and cooling large area glass substrates
KR100682163B1 (ko) 하이브리드형 pvd-cvd 시스템
US20230395402A1 (en) Chamber for degassing substrates
US9711324B2 (en) Inert atmospheric pressure pre-chill and post-heat
US20130109189A1 (en) System architecture for plasma processing solar wafers
US10854497B2 (en) Apparatus and method of selective turning over a row of substrates in an array of substrates in a processing system
US6235656B1 (en) Dual degas/cool loadlock cluster tool
US6638860B2 (en) Method and apparatus for processing substrates and method for manufacturing a semiconductor device
JP2012069542A (ja) 真空処理システム
US11647577B2 (en) Ionized gas vent to reduce on wafer static charge and particles
US20100189532A1 (en) Inline-type wafer conveyance device
JP2009200142A (ja) 成膜装置および成膜方法
JP2010533795A (ja) リニアソースを有するクラスターツール
US11024762B2 (en) Substrate processing system, substrate conveying device and conveying method
JP2012138540A (ja) 真空処理装置
KR100708973B1 (ko) 유브이 램프 모듈을 구비하는 로드락 챔버를 이용한반도체 제조 장치 및 방법
KR100566697B1 (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
JP2009260041A (ja) 半導体装置の製造方法および成膜装置
JP6065110B2 (ja) 基板処理システム
KR20170052147A (ko) 기판처리시스템 및 기판처리방법
CN105977123A (zh) 一种SiC注入机传片***及其传片方法