TWI633299B - 度量衡方法、度量衡裝置及器件製造方法 - Google Patents

度量衡方法、度量衡裝置及器件製造方法 Download PDF

Info

Publication number
TWI633299B
TWI633299B TW105142817A TW105142817A TWI633299B TW I633299 B TWI633299 B TW I633299B TW 105142817 A TW105142817 A TW 105142817A TW 105142817 A TW105142817 A TW 105142817A TW I633299 B TWI633299 B TW I633299B
Authority
TW
Taiwan
Prior art keywords
radiation
spectrum
metrology
substrate
target
Prior art date
Application number
TW105142817A
Other languages
English (en)
Other versions
TW201732270A (zh
Inventor
李察 昆塔尼哈
包伊夫 亞歷 傑福瑞 丹
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201732270A publication Critical patent/TW201732270A/zh
Application granted granted Critical
Publication of TWI633299B publication Critical patent/TWI633299B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種混合度量衡裝置(1000、1100、1200、1300、1400)量測藉由微影製造之一結構(T)。一種EUV度量衡裝置(244,IL1/DET1)運用EUV輻射輻照該結構且自該結構偵測一第一光譜。另一種度量衡裝置(240,IL2/DET2)運用包含EUV輻射或較長波長輻射之第二輻射輻照該結構且偵測一第二光譜。在一起使用該所偵測到之第一光譜及該所偵測到之第二光譜的情況下,一處理器(MPU)判定該結構之一屬性(CD/OV)。該光譜可以各種方式組合。舉例而言,該第一所偵測到之光譜可用於控制用於俘獲該第二光譜之照明及/或偵測之一或多個參數,或該第二所偵測到之光譜可用於控制用於俘獲該第一光譜之照明及/或偵測之一或多個參數。該第一光譜可用於區分該結構中之不同層(T1,T2)之屬性。第一及第二輻射源(SRC1,SRC2)可共用一共同驅動雷射(LAS)。

Description

度量衡方法、度量衡裝置及器件製造方法
本發明係關於用於可用於(例如)藉由微影技術來製造器件之方法及裝置,且係關於使用微影技術來製造器件之方法。將量測臨界尺寸(線寬)之方法描述為此度量衡之特定應用。亦描述量測諸如疊對之不對稱性相關參數之方法。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於(例如)積體電路(IC)製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之一部分、一個晶粒或若干晶粒)上。
在微影程序中,需要頻繁地進行所產生結構之量測(例如)以用於程序控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(CD)之掃描電子顯微鏡(SEM)。其他特殊化工具用以量測與不對稱性相關之參數。此等參數中之一者為疊對(器件中之兩個層之對準準確度)。最近,已開發供微影領域中使用的各種形式之散射計。此等器件將輻射光束導向至目標上且量測散射輻射之一或多個屬性-例如,依據波 長而變化的在單一反射角下之強度;依據反射角而變化的在一或多個波長下之強度;或依據反射角而變化的偏振-以獲得可供判定目標之所關注屬性之「光譜(spectrum)」。可藉由各種技術來執行所關注屬性之判定:例如,藉由諸如嚴密耦合波分析或有限元素方法之反覆途徑而進行的目標結構之重新建構;庫搜尋;及主成份分析。相較於SEM技術,可在大比例或甚至所有產品單元上以高得多的產出率使用光學散射計。
由習知散射計使用之目標為相對大(例如,40微米乘40微米)光柵,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。為了將目標之大小縮減(例如)至10微米乘10微米或更小,(例如)因此可將其定位於產品特徵當中而非切割道中,已提出所謂的「小目標」度量衡,其中使光柵小於量測光點(亦即,光柵填充過度)。此等目標可小於照明光點且可由晶圓上之產品結構環繞。通常小目標係用於可自光柵結構中之不對稱性之量測導出的疊對及其他效能參數之量測。藉由將目標置放於產品特徵當中(「晶粒內目標」),有望增加量測之準確度。(例如)由於晶粒內目標以與產品特徵更相似之方式受程序變化影響而預期改良準確度,且可能需要較少插值以判定在實際特徵位點處之程序變化的效應。疊對目標之此等光學量測對大量生產中之疊對效能的改良已極其成功。所謂的暗場成像係用於此目的。可在國際專利申請案US20100328655A1及US2011069292A1中找到暗場成像度量衡之實例,該等國際專利申請案之文件的全文係特此以引用方式併入。已公開之專利公開案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A及US2015138523中已描述該技術之另外10個開發。亦已實施用於焦點效能及劑量效能之相似小目標技術。 所有此等先前申請案之內容係以引用方式併入本文中。
然而,隨著技術開發,效能規格變得愈來愈嚴厲。此外,小目標技術尚未經開發用於諸如線寬或臨界尺寸(CD)之其他參數的量測。當前方法之另一限制性在於其由比真實產品特徵之典型尺寸大得多之光學波長製成。所關注特定參數為線寬(CD)。CD度量衡遭受低準確度、所關注參數之間且亦所關注參數與其他隱藏參數之間的串擾(程序穩固性)。由於微觀結構收縮且在幾何形狀上變得愈來愈複雜(例如,變為3-D結構),因此CD度量衡之已知技術努力提供準確度、精確度及速度。另一所關注參數為疊對。
作為光學度量衡方法之替代方案,亦已考慮使用X射線量測半導體器件中之疊對。一種技術被稱為透射小角X射線或T-SAXS。US 2007224518A(Yokhin等人,Jordan Valley)中揭示應用於疊對之量測的T-SAXS裝置,且彼申請案之內容係以引用方式併入本文中。Lemaillet等人在「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」(Proc.of SPIE,2013年,8681)中論述使用T-SAXS之剖面(CD)量測。T-SAXS使用波長小於1奈米之X射線,例如在0.01奈米至1奈米之範圍內,且因此用於T-SAXS之目標可由產品狀特徵製成。T-SAXS信號傾向於為極弱的,尤其在目標大小較小時。因此,量測傾向於在大容量製造中使用方面太耗時。T-SAXS裝置可用以量測足夠小而被考慮用於在產品特徵當中置放的目標。令人遺憾的是,小目標大小需要小光點大小且因此需要更長量測時間。
經公開之專利申請案US 20130304424A1及US2014019097A1(Bakeman等人/KLA)描述混合度量衡技術,其中使用x射線進行之量測及 運用在120奈米與2000奈米之範圍內之波長的「光學」量測經組合在一起以獲得諸如CD之參數之量測。CD量測係經由一或多個共同藉由耦合x射線數學模型及光學數學模型獲得。
吾人已知在掠入射處使用X射線(GI-XRS)及極紫外線(EUV)輻射之反射量測術技術係用於量測基板上之膜及層堆疊之屬性。在一般反射量測術領域內,可應用測角及/或光譜技術。在測角術中,量測具有不同入射角之經反射光束之變化。另一方面,光譜反射量測術量測在給定角度下反射之波長之光譜(使用寬頻帶輻射)。舉例而言,EUV反射量測術已在供用於EUV微影中之倍縮光罩(圖案化器件)之製造之前用於光罩基底之檢測。舉例而言,S Danylyuk等人已在「Multi-angle spectroscopic EUV reflectometry for analysis of thin films and interfaces」(Phys.Status Solidi C 12,3,第318至322頁(2015年))中描述關於此等技術之研究。然而,此等量測不同於週期性結構中之CD之量測。此外,特別鑒於所涉及之極淺掠入射角,此等已知技術中無一者適合於對諸如晶粒內光柵之小目標之度量衡。
在未在本優先權日期公開之歐洲專利申請案15160786中,提出使用EUV輻射(亦即,波長介於約1奈米至約100奈米之範圍內的輻射)量測諸如目標結構之CD及疊對之屬性。使用在零及/或高繞射階下散射之輻射執行光譜反射量測術。相比於在T-SAXS或GI-SAXS方法中,使用比可在x射線波長下使用之掠入射角高的掠入射角達成較小光點大小。藉由使用EUV光學系統與基板之間的圓錐形安裝台進一步加強繞射信號。此允許相對於目標結構之週期性方向之非零入射方位角。先前申請案之內容特此以引用方式併入本發明中。
在所提及之專利申請案中,提出混合度量衡之形式,其中使用EUV輻射量測具有產品狀結構之較大目標,而使用在較習知光學波帶中起作用之角解析散射計量測較小晶粒內目標。關於若干基板之EUV量測之結果係用於校準大容量製造中之光學量測。
本發明旨在提供用於判定半導體製造中所發現之類型的微觀結構之屬性之用於度量衡的替代性方法及裝置。
在一第一態樣中,本發明提供一種用於量測藉由一微影程序製造之一結構之一屬性的混合度量衡裝置,該混合度量衡裝置包含:(a)一第一照明系統,其用於運用第一輻射輻照該結構,該第一輻射包含在1奈米至100奈米之範圍內之一或多個波長;(b)一第一偵測系統,其用於偵測包含由該週期性結構反射之該第一輻射之至少部分的一第一光譜;(c)一第二照明系統,其用於運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)一第二偵測系統,其用於偵測包含由該週期性結構反射之該第二輻射之至少部分的一第二光譜;(e)一處理系統,其用於使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
本發明人已認識到,極紫外線(EUV)波帶中之輻射向小度量衡目標之CD、疊對及其他屬性之度量衡提供特定優點。適宜地,此等小度量衡目標可再次具有週期性結構之形式。相較於通常實踐之光學散射量測, EUV射線將不受到底層特徵強影響,且因此週期性結構自身之模型化可更準確。相比於X射線,存在將EUV輻射聚焦至較精細光點而不具有功率之不當損耗之潛在性。相較於X射線,存在使用高得多的入射角之潛在性。另外,藉由提供用於目標之照明及偵測之合適EUV光學系統,EUV輻射可形成為足夠小光點以用於晶粒內度量衡,即使在該光點係藉由掠入射而伸長時。為了獲得用於CD度量衡之足夠資訊,可量測橫越EUV波長範圍之光譜屬性。
對自1奈米至100奈米之波長範圍的提及不欲意謂該裝置或方法應使用遍及彼整個波範圍之波長,或甚至能夠如此做。一個別實施可選擇運用僅遍及該範圍之一子集之波長而起作用。適當範圍將取決於合適源之可用性,及待量測之結構之尺寸。
根據如上文所闡述之本發明之第一態樣,該EUV度量衡裝置可用於補充第二度量衡裝置,該第二度量衡裝置可在同一波帶內以不同方式操作,或可在不同波帶中操作。可設想組合所偵測到之光譜之不同模式,將在以下實施例中解釋且說明該等不同模式中之一些。
在一特定實施中,該度量衡系統包括經調適以收納來自自動化晶圓處置器之半導體晶圓(例如300毫米晶圓)的基板支撐件。
在本發明之一第二態樣中,提供一種量測藉由一微影程序製造之一結構之一屬性之方法,該方法包含:(a)運用第一輻射輻照該結構,該第一輻射包含在1奈米至100奈米之範圍內之一或多個波長;(b)偵測包含由該週期性結構反射之該第一輻射之至少部分的一第一光譜; (c)運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)偵測包含由該週期性結構反射之該第二輻射之至少部分的一第二光譜;(e)使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
本發明進一步提供一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個週期性結構;量測該週期性結構之一或多個屬性以判定該微影程序之一或多個參數的一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中量測該週期性結構之該等屬性之步驟包括使用一混合度量衡系統或方法根據上文所闡述之本發明之該等態樣量測一屬性。
下文參考隨附圖式來詳細地描述本發明之另外特徵及優勢,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文所描述之特定實施例。本文中僅出於說明性目的而呈現此等實施例。基於本文中所含有之教示,額外實施例對於熟習相關技術者而言將為顯而易見的。
11‧‧‧輻射源
200‧‧‧微影裝置LA/微影工具
202‧‧‧量測站MEA
204‧‧‧曝光站EXP
206‧‧‧控制單元LACU
208‧‧‧塗佈裝置
210‧‧‧烘烤裝置
212‧‧‧顯影裝置
220‧‧‧基板
222‧‧‧蝕刻裝置
224‧‧‧退火裝置
226‧‧‧處理裝置/步驟
230‧‧‧基板
232‧‧‧基板
234‧‧‧基板
240‧‧‧混合度量衡系統/度量衡裝置
242‧‧‧度量衡結果/第一度量衡裝置/EUV度量衡裝置
244‧‧‧第二度量衡裝置/EUV度量衡裝置
246‧‧‧度量衡處理系統/度量衡處理單元/單元MPU
248‧‧‧第一光譜資料
250‧‧‧第二光譜資料
252‧‧‧量測
300‧‧‧EUV度量衡裝置
302‧‧‧圓圈
304‧‧‧輻射/入射射線
306‧‧‧圓圈/偵測器
308‧‧‧反射射線
310‧‧‧反射光譜
312‧‧‧掠入射繞射光柵/組件
313‧‧‧偵測器/組件
314‧‧‧第二偵測器/參考光譜偵測器
316‧‧‧輻射源
318‧‧‧繞射光柵
320‧‧‧一階繞射射線/參考光譜
330‧‧‧輻射源
332‧‧‧照明系統
333‧‧‧偵測系統
334‧‧‧定位系統
336‧‧‧可移動支撐件
340‧‧‧處理器
404‧‧‧入射光束/輻射光束
408‧‧‧經反射光束
500‧‧‧EUV輻射光束
502‧‧‧第一孔隙
504‧‧‧入射光束
506‧‧‧2維彎曲鏡面
508‧‧‧會聚光束
510‧‧‧第二孔隙
520‧‧‧第二聚焦鏡面/二位彎曲聚焦鏡面
522‧‧‧第二度量衡裝置
700‧‧‧裝置
704‧‧‧入射射線
707‧‧‧圓圈
708‧‧‧經反射射線
710‧‧‧反射光譜
712‧‧‧繞射光柵
713‧‧‧偵測器
730‧‧‧照明系統
732‧‧‧照明系統
733‧‧‧偵測系統
734‧‧‧定位系統
740‧‧‧處理器
750‧‧‧第三偵測器
752‧‧‧光譜/一階繞射光譜
754‧‧‧致動器
800‧‧‧光譜散射計/裝置
802‧‧‧寬頻帶(白光)輻射投影儀
804‧‧‧光譜儀
806‧‧‧光譜
900‧‧‧角解析散射計/裝置
912‧‧‧照明系統
912a‧‧‧透鏡系統
912b‧‧‧彩色濾光器
912c‧‧‧偏振器
913‧‧‧孔隙器件
914‧‧‧參考鏡面
915‧‧‧部分反射表面
916‧‧‧顯微接物鏡/透鏡
919‧‧‧偵測器
1000‧‧‧混合度量衡裝置/混合度量衡系統
1002‧‧‧第一度量衡裝置
1004‧‧‧第二度量衡裝置
1010‧‧‧第一輻射源
1012‧‧‧第一照明系統/照明光學件
1016‧‧‧基板支撐件
1018‧‧‧第一偵測系統/偵測器
1020‧‧‧度量衡處理器/度量衡處理單元
1030‧‧‧泵激雷射
1032‧‧‧高諧波產生(HHG)氣胞
1034‧‧‧氣體供應件
1036‧‧‧電源
1040‧‧‧第一輻射光束
1042‧‧‧經濾光光束
1044‧‧‧濾光器件
1050‧‧‧檢測腔室
1052‧‧‧真空泵
1056‧‧‧經聚焦光束
1060‧‧‧反射輻射
1072‧‧‧位置控制器
1074‧‧‧感測器
1082‧‧‧第一光譜資料
1084‧‧‧第二光譜資料
1100‧‧‧混合度量衡裝置/混合度量衡系統
1102‧‧‧可移動鏡面
1200‧‧‧混合度量衡裝置
1210-1‧‧‧專用模組
1210-2‧‧‧專用模組
1210-3‧‧‧專用模組
1212‧‧‧混合處理模組
1300‧‧‧混合度量衡裝置/混合度量衡系統
1310-1‧‧‧處理模組
1312‧‧‧混合處理模組
1320-1‧‧‧第一輻射
1320-2‧‧‧輻射
1400‧‧‧混合度量衡裝置/混合度量衡系統
1410-1‧‧‧專用模組
1412‧‧‧混合處理模組
1420-1‧‧‧第一輻射
1420-2‧‧‧第二輻射
α‧‧‧掠入射角
φ‧‧‧入射方位角
β‧‧‧角度/一階繞射角
β'‧‧‧角度/繞射角
B‧‧‧橢圓形橫截面/光束橫截面
B'‧‧‧橢圓/形狀
CD‧‧‧臨界尺寸
CP‧‧‧收集路徑
D‧‧‧週期性方向
dB‧‧‧光束直徑
dS‧‧‧光點直徑
DET1‧‧‧第一偵測系統
DET2‧‧‧第二偵測系統
DET3‧‧‧偵測系統
F‧‧‧焦距
I‧‧‧入射射線
IL1‧‧‧第一照明系統
IL2‧‧‧第二照明系統
IL3‧‧‧照明系統
IP‧‧‧照明路徑
LAS‧‧‧泵激雷射/共同驅動雷射
MA‧‧‧圖案化器件/倍縮光罩
MPU‧‧‧度量衡處理單元
N‧‧‧法線方向
O‧‧‧光軸
P‧‧‧背向投影式光瞳平面
P'‧‧‧共軛光瞳平面
R‧‧‧配方資訊
RP‧‧‧參考路徑
S‧‧‧圓形光點/輻射光點
S'‧‧‧光點
S21‧‧‧步驟
S22‧‧‧步驟
S23‧‧‧步驟
S24‧‧‧步驟
SCG‧‧‧超連續光譜產生器
SCS‧‧‧監督控制系統
SF‧‧‧信號
SR‧‧‧信號
SRC1‧‧‧第一輻射源
SRC2‧‧‧第二輻射源
SRC3‧‧‧源
ST‧‧‧信號
T‧‧‧度量衡目標/目標結構/光柵目標/基板目標
T1‧‧‧光柵特徵/層
T2‧‧‧光柵特徵/層
W‧‧‧基板
現將參考隨附圖式而作為實例來描述本發明之實施例,在該等圖式中:圖1描繪形成用於半導體器件之生產設施且包括根據本發明的實施例之混合度量衡裝置之微影裝置以及其他裝置; 圖2說明相對於圖1之混合度量衡裝置之一個實施例中的EUV度量衡區段中之光柵目標的入射及反射射線之幾何形狀;圖3示意性地說明執行圖2之EUV度量衡方法的EUV度量衡裝置之組件;圖4之(a)藉由一示意性側視圖說明在掠入射下之輻射之光點之伸長率,以光束橫截面B之示意性表示說明在(b)及(c)處示意性地展示之針對不同入射角的光點S;圖5示意性地說明圖3之EUV度量衡裝置中之照明系統的組件;圖6說明相對於根據另一度量衡裝置之度量衡方法中之光柵目標的入射及反射射線之幾何形狀,另一度量衡裝置可用於根據本發明之混合度量衡裝置中;圖7示意性地說明執行圖6之方法的度量衡裝置之組件;圖8描繪可用於根據本發明之實施例的度量衡方法中之光譜散射計;圖9描繪可用於根據本發明之實施例的度量衡方法中之第二類型的散射計;圖10說明包括圖9中所展示類型之散射計的混合度量衡裝置;圖11展示用於混合度量衡裝置之輻射源配置,其中在EUV(圖11之(a))與較長波輻射源(圖11之(b))之間共用泵激雷射;圖12展示度量衡裝置之一般配置及圖1中所展示之生產設施中之第一實例混合度量衡裝置中的資料流;圖13展示度量衡裝置之一般配置及圖1中所展示之生產設施中之第二實例混合度量衡裝置中的資料流;圖14之(a)展示度量衡裝置之一般配置及圖1中所展示之生產設施中之 第三實例混合度量衡裝置中的資料流;圖14之(b)展示掠入射輻射及正入射輻射與圖14之(a)之實例中的疊對目標結構之相互作用;且圖15為說明使用藉由圖1之混合度量衡系統進行的量測來控制度量衡方法及/或微影製造程序之效能之方法的流程圖。
在詳細地描述本發明之實施例之前,有指導性的是呈現可供實施本發明之實施例之實例環境。
圖1在200處將微影裝置LA展示為實施大容量微影製造程序之工業設施之部分。在本實例中,製造程序經調適用於在基板(諸如,半導體晶圓)上之半導體產品(積體電路)之製造。熟習此項技術者將瞭解,可藉由以此程序之變化形式處理不同類型之基板來製造各種產品。半導體產品之生產純粹用作現今具有大商業意義之實例。
在微影裝置(或簡稱為「微影工具」200)內,在202處展示量測站MEA且在204處展示曝光站EXP。在206處展示控制單元LACU。在此實例中,每一基板訪問量測站及曝光站以具有經施加之圖案。舉例而言,在光學微影裝置中,投影系統用以使用經調節輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此轉印藉由在輻射敏感抗蝕劑材料層中形成圖案之影像而完成。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用的其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化器件MA可為將圖案賦予至由圖案化器件透射 或反射之輻射光束的光罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化器件之支撐件及定位系統合作,以將所要圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來代替具有固定圖案之倍縮光罩。輻射(例如)可包括在深紫外線DUV)波帶或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於其他類型之微影程序,例如(例如)藉由電子束之壓印微影及直寫微影。
微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以收納基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與裝置之操作相關之所要計算的信號處理及資料處理能力。在實務上,控制單元LACU將被實現為許多子單元之系統,該等子單元各自處置裝置內之子系統或組件之即時資料獲取、處理及控制。
在曝光站EXP處將圖案施加至基板之前,在量測站MEA處處理基板以使得可進行各種預備步驟。該等預備步驟可包括使用位階感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記之位置。對準標記係以規則柵格圖案標稱地配置。然而,歸因於產生標記之不準確度且亦歸因於基板之貫穿其處理而發生的變形,標記偏離理想柵格。因此,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記之位置(在裝置將以極高準確度印刷正確部位處之產品特徵的情況下)。裝置可屬於具有兩個基板台之所謂的雙載物台類型,每一基板台具有由控制單元LACU控制之一定位系統。在曝光站EXP處曝光一個基板台上之一個基板的同時,可在量測站MEA處將另一基板裝載至另一基板台上,使得可進行各種預備步驟。因此,對準標記之量測 極耗時,且提供兩個基板台會實現裝置之產出率的相當大的增加。若在基板台處於量測站處以及處於曝光站處時位置感測器IF不能夠量測基板台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤基板台之位置。微影裝置LA可(例如)屬於所謂的雙載物台類型,其具有兩個基板台WTa及WTb以及兩個站一曝光站及量測站一在該兩個站之間可交換該等基板台。
在生產設施內,裝置200形成「微影製造單元在生產設施內,裝置200形成「微影製造單元(litho cell)」或「微影叢集(litho cluster)」之部分,該「微影製造單元」或「微影叢集」亦含有塗佈裝置208以用於將感光性抗蝕劑及其他塗層施加至基板W供用於藉由裝置200進行圖案化。在裝置200之輸出側處,提供烘烤裝置210及顯影裝置212以用於將經曝光圖案顯影至實體抗蝕劑圖案中。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一件裝置轉移至下一裝置。常常被集體地稱作塗佈顯影系統(track)之此等裝置係在塗佈顯影系統控制單元之控制下,塗佈顯影系統控制單元自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影裝置控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。監督控制系統SCS接收配方資訊R,該配方資訊R極詳細地提供待執行以產生每一經圖案化基板之步驟的定義。
一旦已在微影製造單元中施加且及顯影圖案,則將經圖案化基板220轉移至其他處理裝置,諸如在222、224、226處所說明之其他處理裝置。廣泛範圍之處理步驟係藉由典型製造設施中之各種裝置實施。出於實例起見,此實施例中之裝置222為蝕刻站,且裝置224執行蝕刻後退火步驟。將另外物理及/或化學處理步驟應用於另外裝置226,等等。可需要眾多類 型之操作以製造真實器件,諸如材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等)、化學機械拋光(CMP)等等。實務上,裝置226可表示在一或多個裝置中執行之一系列不同處理步驟。
眾所周知,半導體器件之製造涉及此處理之許多重複,以在基板上逐層地建置具有適當材料及圖案之器件結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一裝置中完全地被處理之基板。相似地,取決於所需處理,留下裝置226上之基板232可經恢復以用於同一微影叢集中之後續圖案化操作,其可被預定用於不同叢集中之圖案化操作,或其可為成品產品而待發送用於切割及封裝。
產品結構之每一層需要一組不同程序步驟,且用於每一層處之裝置226可在類型方面完全地不同。此外,即使在待由裝置226應用之處理步驟在大型設施中標稱地相同的情況下,亦可存在並行地起作用以對不同基板執行步驟226之若干假設相同的機器。此等機器之間的設定之小差異或故障可意謂其以不同方式影響不同基板。甚至對於每一層而言相對共同的步驟(諸如,蝕刻(裝置222))仍可由標稱地相同但並行地起作用以最大化產出率之若干蝕刻裝置來實施。此外,實務上,不同層根據待蝕刻之材料的細節需要不同蝕刻程序,例如,化學蝕刻、電漿蝕刻,且需要特殊要求,諸如,各向異性蝕刻。
可在如剛才所提及之其他微影裝置中執行先前及/或後續程序,且可甚至在不同類型之微影裝置中執行先前及/或後續程序。舉例而言,器件製造程序中之在諸如解析度及疊對之參數方面要求極高之一些層相比於要求較不高之其他層可在更先進微影工具中予以執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於「乾式」工具中。一些層可曝光於 在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。
為了正確且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等之屬性。因此,經定位有微影製造單元LC之製造設施亦包括混合度量衡系統240,混合度量衡系統240收納已在微影製造單元中處理之基板W中的一些或全部。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其是在度量衡可足夠迅速地且快速地進行而使得同一批量之其他基板仍待曝光的情況下。並且,已經曝光之基板可被剝離及重工以改良良率,或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行進一步曝光。
在使用混合度量衡系統240的情況下,可判定(例如):諸如疊對或臨界尺寸(CD)之重要效能參數不滿足經顯影抗蝕劑中之經規定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220之機會。如亦所熟知,藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小幅度調整,可使用來自裝置240之度量衡結果242以維持微影叢集中之圖案化操作之準確效能,藉此最小化製得不合格產品且要求重工之風險。當然,可應用混合度量衡系統240及/或其他度量衡裝置(圖中未繪示)以量測經處理基板232、234及傳入基板230之屬性。
每一代微影製造技術(通常被稱為技術「節點」)具有用於諸如CD之效能參數之較嚴厲規範。度量衡中之主要挑戰中之一者為度量衡目標大小要求小於通常與度量衡裝置240一起使用之目標。舉例而言,目前目標應為使用具有5微米×5微米之大小或更小的目標。此等小大小將准許更廣泛 使用所謂的「晶粒內」或「產品上」度量衡,其中目標位於產品特徵當中(代替被限制於產品區域之間的切割道區域中)。當前用於晶粒內CD度量衡之唯一度量衡技術為電子顯微法(CD-SEM)。此已知技術展示對未來節點之限制,且僅提供結構之極有限幾何資訊。
在混合度量衡系統240內,提供第一度量衡裝置242(MET1)及第二度量衡裝置244(MET2)且視情況另外裝置(MET3等)以用於對在製作程序中之所要載物台處之參數進行量測。現代微影生產設施中之度量衡裝置之常見實例為散射計(例如,角解析散射計或光譜散射計),且其可應用於在裝置222中之蝕刻之前量測在220處之經顯影基板之屬性。混合度量衡系統240與已知實例的不同之處在於多個量測類型可在混合度量衡系統內予以執行以獲得關於目標結構之一所關注參數或若干參數之較佳總量測。
度量衡裝置242、244中之每一者可具有用於具有特定特性之輻射的特定照明系統。下文將給出可經組合的裝置之類型之更加詳細的實例。在每一狀況下,度量衡處理系統246自第一度量衡裝置242內之第一偵測系統接收第一光譜資料248且自第二度量衡裝置244內之第二偵測系統接收第二光譜資料250。度量衡處理系統246以混合計算組合此等光譜以獲得經報告至監督控制系統SCS之CD或其他參數之量測252。在一些實施例中,度量衡處理系統246亦控制度量衡裝置242、244中之一或多者之操作以基於自度量衡裝置中之另一者接收之光譜資料使其操作之參數變化。
混合度量衡系統內的裝置中之一者(例如,第二度量衡裝置244)可經設計以運用在可見或UV波長下的輻射操作,而裝置中之另一者(例如,第一度量衡裝置242)可經設計以運用EUV輻射操作。在其他實施例中,第一及第二度量衡裝置兩者可經設計以運用具有相同或不同波長之EUV輻射操 作。裝置中之一者可經設計以運用掠入射操作,而另一者經設計以運用正或接近正入射操作。裝置中之一者可經設計以獲得由目標結構散射之輻射之頻率解析光譜,而裝置中之另一者經設計以獲得角解析光譜。度量衡裝置中之一者(例如,第二度量衡裝置244)可為角解析散射計、光譜散射計、光譜橢偏儀及/或光譜米勒(Mueller)橢偏儀。共同硬體可用於實施此等類型之度量衡裝置中之多於一者。此等及其他變體可用於混合度量衡系統中以獲得關於結構之較多資訊,且因此給出所關注參數之較準確量測。三個或多於三個度量衡裝置可設置於混合度量衡系統內,且此處僅出於方便起見而標註第一及第二度量衡裝置。此等額外度量衡裝置可均共同地使用以進行一個量測,或其可用於不同子組合中。
在根據本發明之混合度量衡系統240之實施例中,提議在度量衡裝置中之至少一者中使用用於度量衡之EUV波長。在一些實施例中,EUV反射量測術(尤其為光譜EUV反射量測術)經探用作為用於未來技術節點之CD度量衡解決方案之部分。在以上所提及之申請中之歐洲專利申請案第15160786號中,證實EUV反射量測術提供高敏感度之益處,該高敏感度相對於程序變化穩固且對於所關注參數為選擇性的。
如同用於當今生產設施中之光學散射計,EUV度量衡裝置可用於量測在微影製造單元內處理之抗蝕劑材料內之結構(顯影後檢測或ADI),及/或在結構已形成於較硬材料中之後量測該等結構(蝕刻後檢測或AEI)。舉例而言,可在已藉由顯影裝置212、蝕刻裝置222、退火裝置224及/或其他裝置226處理基板之後使用EUV度量衡裝置242來檢測該等基板。相比之下,X射線技術通常將限於AEI且不能用以量測僅形成於抗蝕劑中之結構。此情形限定在基板檢測不合格的情況下重工基板之可能性。
EUV光譜反射量測術
圖2說明EUV度量衡方法,而圖3說明EUV度量衡裝置300。該裝置可用作用於量測在圖1之生產設施中處理之基板W之參數的第一度量衡裝置242或第二度量衡裝置244之實例。
在圖2中,將目標T示意性地表示為包含球形參考框架之原點處之一維光柵結構。相對於目標來界定軸線X、Y及Z。(當然,原則上可界定任何任意座標系統,且每一組件可具有可相對於所展示之參考框架而界定的其自身局域參考框架)。將目標結構之週期性方向D與X軸對準。該圖式並非真實透視圖,而僅為示意圖。X-Y平面為目標及基板之平面,且為了清楚起見被展示為朝向檢視者傾斜,其由圓圈302之傾斜視圖表示。Z方向界定垂直於基板之方向N。在圖2中,入射射線中之一者被標註為304且具有掠入射角α。在此實例中,入射射線304(及形成輻射光點S之所有入射射線)實質上處於平行於X-Z平面之平面中,該平面為由方向D及N界定且由圓圈306表示之平面。並未由目標T之週期性結構散射之反射射線308在圖中朝向目標之右側以仰角α出現。
為了執行光譜反射量測術,使射線308及其他反射射線分解成包含具有不同波長之射線的光譜310。舉例而言,可使用掠入射繞射光柵312來產生該光譜。該光譜係由偵測器313偵測。可(例如)為具有像素陣列之CCD影像偵測器的此偵測器係用以將光譜變換成電信號且最終變換成數位資料以供分析。
在實務系統中,輻射304之光譜可經受時間變化,此情形將干擾分析。為了正規化相對於此等變化之所偵測到之光譜,使一參考光譜由第二偵測器314俘獲。為了產生參考光譜,使源輻射316由另一繞射光柵318繞 射。光柵318之零階反射射線形成入射射線304,而光柵318之一階繞射射線320形成由參考光譜偵測器314偵測之參考光譜。獲得表示參考光譜之電信號及資料以用於分析。
可自針對入射角α之一或多個值獲得之經量測光譜計算目標結構T之屬性之量測。在混合度量衡系統240中,使用所偵測到之光譜結合由同一目標結構上之其他度量衡裝置偵測到之一或多個光譜來獲得此量測。下文進一步描述進行此組合之不同方式。
轉向圖3,提供EUV度量衡裝置300以用於藉由圖2之方法量測形成於基板W上之度量衡目標T之屬性。示意性地表示各種硬體組件。可由熟習相關技術者根據熟知設計原理應用現有組件及經特殊設計組件之混合來執行此等組件之實務實施。提供支撐件(未被詳細地繪示)以用於將基板相對於待描述之其他組件固持於所要位置及定向。輻射源330將輻射提供至照明系統332。照明系統332提供由射線304表示之EUV輻射光束,該EUV輻射光束在目標T上形成經聚焦輻照光點。照明系統332亦將參考光譜320提供至偵測器314。可方便地將組件312、313等等認為是偵測系統333。
此實例中之基板W安裝於具有定位系統334之可移動支撐件上使得可調整射線304之入射角α。在此實例中,按照在源330及照明系統332保持靜止的同時傾斜基板W以改變入射角之便利性來選擇該可移動支撐件。為了捕獲反射射線308,偵測系統333具備一另外可移動支撐件336,使得該可移動支撐件相對於靜止照明系統移動達角度2α,或相對於基板移動達角度α。在反射量測之掠入射體系中,方便的是藉由參考如所展示之基板之平面而界定入射角α。當然,該入射角可同樣被界定為入射射線I之入射方向與垂直於基板之方向N之間的角度。
提供額外致動器(圖中未繪示)以將每一目標T帶入至經定位有經聚焦輻射光點S之位置中。(從另一方面看,將光點帶入至經定位有目標之位置。)在實務應用中,在單一基板上可存在待量測之一連串個別目標或目標部位,且在一連串基板上亦可存在待量測之一連串個別目標或目標部位。原則上,並不重要的是,當照明系統及偵測器保持靜止時基板及目標是否移動及再定向、或當照明系統及偵測器移動時基板是否保持靜止,或藉由此等技術之組合是否達成具有相對移動之不同組件。本發明涵蓋所有此等變體。
如已經參看圖2所描述,由目標T及基板W反射之輻射在其照射於偵測器313上之前***成具有不同波長之射線之光譜310。偵測器306包含(例如)位置敏感EUV偵測器,其通常為偵測器元件陣列。該陣列可為線性陣列,但實務上可提供元件(像素)之2維陣列。偵測器313可為(例如)電荷耦合器件(CCD)影像感測器。
可為度量衡處理系統246或度量衡裝置300本端之子系統之一部分的處理器340自偵測器313及314接收信號。詳言之,來自偵測器313之信號ST表示目標光譜,且來自偵測器314之信號SR表示參考光譜。處理器340可自目標光譜減去參考光譜,以含有相對於源光譜中之變化而正規化的目標之反射光譜。用於一或多個入射角之所得反射光譜係用於處理器340中以間接計算目標之屬性(例如,CD或疊對)之量測。
實務上,可在一系列短脈衝中提供來自源330之輻射,且可針對每一脈衝一起俘獲信號SR及ST。計算用於每一個別脈衝之差信號,之後將該等脈衝聚集至用於處於此入射角之此目標之總反射光譜中。以此方式,脈衝之間的源光譜之不穩定度得以校正。脈衝速率可為每秒數千或甚至數萬 (赫茲)。經聚集以量測一個反射光譜之脈衝之數目可為(例如)數十或數百。即使在具有如此多脈衝的情況下,實體量測亦只花費幾分之一秒。
在此EUV-SR至半導體製造中之度量衡的應用中,可使用小光柵目標。使用偵測器313及314來俘獲多個繞射光譜,同時將掠入射角α設定成各種不同值。在使用目標結構之經偵測光譜及數學模型的情況下,可執行重新建構計算以達到CD及/或其他所關注參數之量測。在申請中之申請案中說明實例重新建構方法。在為本發明之主題之混合度量衡系統中,該重新建構方法經修改以考慮由兩個或多於兩個度量衡裝置(且不僅為圖3中所說明之度量衡裝置)偵測到之光譜。
在簡要考慮目標自身的情況下,線及空間之尺寸將取決於目標設計,但結構之週期可(例如)小於100奈米、小於50奈米、小於20奈米,甚至小於10奈米及降至5奈米。光柵結構之線可具有與基板之產品區域中之產品特徵相同的尺寸及間距。僅僅出於度量衡之目的,光柵結構之線事實上可為產品結構之線,而非形成於專用目標區域內之目標結構之線。此類小特徵可藉由壓印微影或藉由直寫方法形成於(例如)EUV微影程序中。亦可藉由所謂雙重圖案化程序(通常為多重圖案化)使用現代DUV微影形成此類小特徵。此類別中之技術包括(例如)藉由後段製程(back end-of the line;BEOL)層中之微影-蝕刻-微影-蝕刻(LELE)及自對準雙鑲嵌之間距加倍。出於解釋的目的,將在以下實例中假設CD為所關注參數。然而,在存在形成於彼此之頂部上之兩個光柵的情況下,另一所關注參數可為疊對。可基於EUV-SR繞射階中之不對稱性來量測此參數,如下文分離地描述。可在必要時升高入射角以達成至下部結構之適當穿透。
在多重圖案化程序中,不在一個圖案化操作中而是在兩個或多於兩 個圖案化步驟中在產品之一個層中形成結構。因此,舉例而言,可使結構之第一群體與結構之第二群體交錯,且在不同步驟中形成該等群體,以便達成比一個步驟單獨可產生之解析度高的解析度。雖然群體之置放相對於基板上之其他特徵應相同且完美,但當然,每一真實圖案展現某一位置偏移。群體之間的任何無意之位置偏移皆可被視為疊對之形式,且可藉由與用以量測層之間的疊對之技術類似之技術予以量測。另外,關於底層或上覆層中之特徵之疊對可在特徵之多個群體形成於單一層中時針對每一群體而不同,且可視需要分離地量測關於此等群體中每一者之疊對。
圖4說明輻射光點之伸長率問題,其對使用掠入射反射量測術進行之晶粒內度量衡之實施具有挑戰性。在圖4之(a)中,以橫截面展示基板W及目標T。代表性入射射線304及反射射線308被說明,其相對於基板W成入射角α。因為此等射線為代表性射線,所以應考慮到,入射輻射整體上包含許多射線,該等射線形成在404處示意性地所指示之光束。相似地,反射輻射包含許多射線308,該等射線308形成在408處示意性地所指示之光束。為了利用最小可能的目標,藉由聚焦光束404之射線使得該等射線會聚以在其與基板W之表面會合之處精確地界定最小光束直徑而形成輻射光點。在該說明中,入射光束404以最小直徑dB會聚至一焦點。經反射光束408(在忽略散射效應的情況下)包含如所展示之發散射線。因為掠入射角α相對小(換言之,相比於與90°之接近程度更接近於零),所以輻射光束404在投影於目標T上時之直徑dS比光束直徑dB大幾倍。直徑dS與dB之間的比率取決於角度α之正弦,如圖4之(a)所展示。
如圖4之(b)所展示,為了達成配合於目標T之區域內之圓形光點S,光束404應具有在B處所展示之強橢圓形橫截面。當角度α為(例如)5°時, 光束之最小直徑dB應比光點之可允許直徑dS小多於10倍(sin5°=0.087)。對於較低入射角,光束之最小直徑將必須小數十倍、數百倍或甚至數千倍。實務上將不可能獲得配合於諸如5平方微米之小目標區域內之光點。即使在α=5°下,最小光束直徑dB應為大約436奈米以達成低於5微米之光點大小。相反地,如圖4之(c)中所見,掠入射角α之增加極大地放寬了光束404之最小直徑要求。橢圓B'可比橢圓B寬得多,以便達成配合於目標T之區域內之光點S'。舉例而言,對於α=20°,光束直徑將僅增加為原先的三倍。最小直徑dB可大達1.7微米,其不超過5微米之光點大小。相較於已知技術(特別是X射線反射量測術(GI-XRS)),本發明人已認識到使用此等較高入射角可將較小光點大小帶入EUV光學設計之能力內。
圖5說明圖3之EUV度量衡裝置中之照明系統332之一個可能的配置。在330處表示諸如電漿之輻射源。出於EUV微影起見,已實驗上地或商業地測試並建置若干類型之源。可根據所要之波長範圍在本裝置中應用此等源中之任一者。電漿源包括錫(Sn),而且亦包括Xe或Ar或Kr或Ne或N,或其任何組合。可應用雷射驅動光源及諧波產生器源。電漿源並非可經應用之源的僅有類型。同步加速器源可得到更有用功率位準,且可在波長及功率方面更可控制,但此等源尚未以緊密形式市售。在本發明之一些實施例中,可使用較高諧波產生(HHG)類型之源或逆康普頓(Compton)散射(ICS)源。在下文所描述之圖10中說明HHG源。
在一方向範圍內發射具有所要光譜特性之EUV輻射光束500。在源330之出口(至照明系統332之入口)處,提供第一孔隙502以充當用於照明系統之入射光瞳。具有良好界定之發散度的入射光束504照射於聚焦光學元件或系統上。此聚焦系統在本說明中係藉由2維彎曲鏡面506(例如,橢 球形鏡面)予以實施。鏡面506產生會聚光束508,會聚光束508經聚焦以在基板W上之目標部位處形成光點。視情況,提供第二孔隙510以限定光束404在目標處之直徑。詳言之,可使孔隙510在高度及/或寬度方面可調整,使得可根據不同需要/大小及不同入射角α產生光束之不同形狀B'。
經反射光束408進入偵測系統333(此視圖中未繪示),從而攜載關於目標之結構之資訊。視情況,提供第二聚焦鏡面520以縮減在光束進入偵測系統333時該光束之發散度。
如圖2及圖3中所見,形成參考光譜320且由參考光譜偵測器314偵測該參考光譜320。在圖5所說明之實例照明系統中,將用於產生參考光譜320之光柵318整合於彎曲鏡面506中。在替代實施例中,可將參考光譜光柵提供為與鏡面506串聯的分離元件。另外,為了將來自光束504之輻射聚焦成光束508,可用一系列兩個或多於兩個一維彎曲(圓柱形)鏡面替換單一二維彎曲鏡面506。光柵(無論在何處其被提供)可屬於「平面場」類型,使得橫越偵測器314中之線性或平面像素陣列形成經良好解析之光譜。相似地,在偵測側處提供二維彎曲聚焦鏡面520的情況下,可提供一或多個維度上彎曲之鏡面。該彎曲鏡面可與形成由目標反射之輻射之光譜310的光柵312整合。應注意,為了獲得所要光譜解析度,可沒有必要將光束408聚焦於二維中。
圖5中亦展示經標記為522之第二度量衡裝置之輪廓。此說明所說明之佈局在混合度量衡裝置240內允許用於對同一目標結構起作用之第二照明系統及第二偵測系統之空間所在之處。
在申請中之歐洲專利申請案15160786中,呈現實驗結果及模擬以說明可用於此裝置中之波長之選擇及入射角之選擇。特別在15奈米至40奈 米及超過40奈米之波長範圍內,看出,所關注若干材料之反射保持實質上甚至高達10度、20度及30度之角度。再次參看圖4及圖5,入射角之此範圍允許實施達成所要小輻射光點之光學設計,即使在使用可用EUV光學技術之掠入射下。
相比於具有較高能量(較短λ)之X射線,可在1奈米至100奈米之EUV波長中在較高角度α下達成掠入射。「全內反射」之現象在可見波長下在光學件中係熟悉的,其中諸如玻璃之材料具有大於1之折射率。在EUV波長下,材料通常具有小於1之折射率,且導致「全外反射」之現象。可獲得相當大反射所高達之角度可被稱作臨界角。EUV波長下之相對淺穿透深度之優點為:可獲得表示基板之表面結構之量測,而不具有通常存在於半導體產品中之內埋式特徵之相當大干涉。如下文進一步所解釋,可在圖1之混合度量衡系統之操作的一種方法中利用此淺穿透深度。
申請中之申請案亦說明EUV輻射之穿透深度隨著入射角變化。對於給定結構,可在垂直於基板之方向上藉由將入射角α升高至較高值來達成較高穿透深度。可用於利用此效應而不失去反射之入射角之範圍,相比於其(例如)在X射線量測技術中,其在EUV範圍中(尤其在15奈米至100奈米之範圍中)較高。
申請中之申請案進一步藉由模擬及/或實驗解釋EUV波長範圍中之光譜反射量測術如何可產生關於形成於矽基板上之光柵結構(週期性結構)之形式的資訊。所進行之計算確認,應可藉由EUV光譜反射量測術獲得關於光柵目標之存在及結構的良好量測資訊。另外計算指示,所偵測到之光譜亦可揭露關於其他參數之資訊,例如,關於光柵之側壁角度之資訊。
該等計算指示,隨著入射角α自2°增加至12°,攜載量測資訊之信號 之強度較大。因此,取決於待量測之材料及結構,可選擇5°或更大之入射角α,例如,在10度至40度範圍內之角度。角度之最佳選擇將取決於源輻射中之光譜組件之可用性,且取決於不同材料之反射率相對於不同材料之入射角。亦即,入射角應經選擇為信號之強度與由目標之特定材料反射之強度之間的折衷。相似地,信號之強度(側壁角資訊)橫越波長範圍而變化。將供執行量測之入射角及波長範圍可經選擇為定義用於目標之特定類型及所關注特定屬性之最佳度量衡參數集。此度量衡參數集可被稱作度量衡「配方」。
如吾人所知,EUV光學裝置無法在正常大氣環境中起作用。申請中之申請案示意性地說明容納EUV度量衡裝置之不同部分。此EUV度量衡裝置具有用以促進該裝置內之真空及低壓力大氣壓之管理之特徵,特別是在大容量製造環境中。在整個裝置將容納於真空環境中的情況下,在裝載及卸載晶圓或晶圓槽之後重新建立真空環境所需之成本及時間延遲將使產出率嚴重地降級。同時,可需要在高真空環境中具有儘可能多的光束路徑。不同腔室中含有EUV光學系統之不同部分。合適壁可界定此等腔室,而窗口准許EUV輻射在腔室之間穿過。在申請中之專利申請案中所描述之實例中,第一腔室含有輻射源及照明系統。在第一腔室中維持例如高真空之第一大氣條件。在第二腔室中,具有目標結構之基板被支撐在基板支撐件上,其中圍繞該目標維持第二大氣條件。第二大氣條件可為(例如)低壓氣體氛圍,例如使用氫氣、氬氣。以此方式,當藉由某種形式之氣鎖機構交換基板時,可相對快速地建立及重新建立所需大氣條件,且不具有不當成本。雖然第二大氣條件中之透射損耗可在高真空中較大,但對於有限距離之行進及操作生產力,可容許此等損耗。諸如光柵312及偵測器313之 偵測系統之組件位於第三腔室中,該第三腔室維持在第三大氣條件下。舉例而言,該第三大氣條件可為高真空。
圖6說明EUV度量衡方法之一另外經修改實例,且圖7說明對應的度量衡裝置。此方法及裝置組合在以上所提及之申請中之申請案中較詳細地描述之某些修改。應認為,在此等實例中標註為「7xx」之組件與在該等方法及圖2至圖5之裝置中標註為「3xx」之組件相同。因此,該經修改EUV度量衡裝置包括(例如)照明系統730、照明系統732及偵測系統733。
再次,相對於基板來界定X、Y、Z座標系統。再次,假定目標T包含具有平行於基板之X軸之週期性方向D的一維光柵。再次,可傾斜基板及目標以改變入射角。偵測系統733再次包含繞射光柵712以將經反射射線708***成具有不同波長之光譜710。經反射光譜710係由偵測器713俘獲,且信號ST被提供至處理器740。然而,相較於圖2之裝置,定位系統734可操作以使得入射射線704之入射角可不僅以掠入射角α變化,而且以在此處被標註為φ之方位角變化。
再次,相對於基板來界定X、Y、Z座標系統。再次,假定目標T包含具有平行於基板之X軸之週期性方向D的一維光柵。再次,可傾斜基板及目標以改變入射角。然而,允許非零入射方位角φ。相對於光柵目標T之週期性方向D來界定該方位角φ。(在二維週期性目標之狀況下,D可為主週期性方向中之任一者)。亦即,當入射方向投影至基板平面上時,入射射線與週期性方向D之間的方位角φ為非零,且可能極大。亦即,輻照方向處於由週期性方向D及垂直於基板之方向N定義之平面外。確切而言,入射射線在與週期性方向D傾斜之平面中行進。該傾斜平面由圓圈707表示,其與基板平面正交但與週期性方向及X-Z平面傾斜。應理解,雖然平 面及軸線之標籤的選擇係任意的,但參考目標之週期性結構之實體屬性定義掠入射角及方位角。
如申請中之申請案中所解釋,當使用非零方位角時,不同繞射階之繞射效率可實質上增加。此情形又對經反射(零階)射線708之光譜具有影響。
在實施裝置700時,定位系統之不同配置可用以達成非零方位角。編號734指示具有用於圍繞基板之X軸及Y軸旋轉之致動器的定位子系統。對於掠入射角α與方位角φ之所要組合,計算適當命令值Rx及Ry以造成基板在二維中傾斜以達成所要角度。在另一實施中,可提供致動器以用於旋轉及傾斜,從而直接驅動角度α及φ。如將自圖7瞭解,旋轉Rz直接對應於所需方位角φ,且在此狀況下,可自所要量測角度更直接地產生命令值。
在其他度量衡區域中,使掠入射(極)角及方位角兩者變化所需之座架之類型被稱為「圓錐形安裝台」,且亦可在此EUV反射量測術裝置中採用彼術語。一般而言,熟習此項技術者應瞭解,任何形式之命令及任何形式之致動機構可用以實施此實例,其限制條件為:其適合於達成已知非零入射方位角。亦應理解,入射方向與目標之相對定向係重要的(且當然目標相對於輻射光點S之正確X-Y定位亦為重要的)。
另外,在此經修改方法及裝置700中,提供第三偵測器750以接收另一光譜752。光譜752包含在一階下由目標T之週期性結構繞射之輻射。一階繞射輻射經導向之角度β取決於目標光柵之間距以及繞射輻射之波長。在光譜EUV反射量測術之狀況下,在入射輻射包含一波長範圍的情況下,由目標繞射之輻射散佈成處於角度β之一範圍之光譜,如所展示。此一階光譜(類似於反射光譜710)含有關於目標結構之資訊。將由偵測器750俘獲 之信號SF供應至處理器740以供使用(連同信號SR及ST),以演算目標之所關注屬性之經改良之量測。
俘獲一階繞射光譜可在解析目標之與不對稱性相關聯之屬性時具有特定益處。此屬性為疊對。
雖然角度β之範圍在圖16中被展示為相當窄範圍,但為方便起見,應理解,可遭遇相當廣泛範圍之角度,包括「反向」繞射。因為如下原因而尤其為此狀況:(i)所關注波長之範圍可跨越多於一個倍頻程(例如,在以上實例中提及10奈米至40奈米或甚至5奈米至50奈米之範圍);及(ii)在數個潛力應用中,最精細目標光柵(其可為產品特徵)之間距之量值相似於輻射之波長之量值。圖7說明此角度範圍。參看相對於法線方向N而界定之角度β',可預期出現(例如)自-90°至+20°之角度範圍。
為了適應繞射角β(或β')之廣泛的變化,可提供一另外致動器754以在掠入射角α由致動器734變化時及在一階繞射角β隨著光柵間距及波長變化時將偵測器750移動至適當位置。替代地或另外,可將偵測器750製成在範圍上大,及/或將偵測器750置放成接近於目標附近之基板。圖7中示意性地展示此情形。可視情況視提供準直光學件以縮減角度之展度,使得可在經適宜地設定大小且適宜地置放之偵測器750上俘獲該等準直光學件。在本文中所揭示之混合度量衡系統240中,偵測器750及任何第二或另外度量衡裝置應經設計以免彼此不一致。
第二度量衡裝置實例
圖8描繪已知光譜散射計800,其可用作上文所描述之類型之混合度量衡系統240中的度量衡裝置中之一者。其包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀802。反射輻射被傳遞至光譜儀804,該光譜儀 804量測鏡面反射輻射之光譜806(依據波長而變化的強度)。自此資料,可在處理單元PU內藉由計算來重新建構引起所偵測到之光譜之結構或剖面8。處理單元PU可為度量衡處理單元246之部分,或其可在裝置800本端之處理器中。此散射計可經組態為正入射散射計或斜入射散射計。
圖9展示可用作上文所描述之類型之混合度量衡系統240中的度量衡裝置中之一者之已知角解析散射計900的基本元件。在此類型之度量衡裝置中,由輻射源11發射之輻射係由照明系統912調節。舉例而言,照明系統912可包括使用透鏡系統912a、彩色濾光器912b、偏振器912c及孔隙器件913之準直。經調節輻射遵循照明路徑IP,在照明路徑IP中,經調節輻射係由部分反射表面915反射且經由顯微接物鏡916而聚焦至基板W上之光點S中。度量衡目標T可形成於基板W上。透鏡916具有高數值孔隙(NA),較佳地為至少0.9且更佳地為至少0.95。若需要,可使用浸潤流體以獲得大於1之數值孔隙。
在角解析散射計之其他實例中,可使用反射光學件代替所說明之折射光學件。圖9之實例可使用在近UV、可見及/或近紅外線範圍(例如,自350奈米至1000奈米)中之波長。對於較短紫外線波長,例如在100奈米至300奈米之DUV或VUV範圍中,反射光學件變得更加實用且受控大氣環境亦變得必要的。此系統之NA可為較低的。另一方面,反射光學系統中之光學組件之間隔可使得較容易將第一度量衡裝置與第二度量衡裝置整合至混合度量衡系統240中。
如在微影裝置LA中,可在量測操作期間提供一或多個基板台以固持基板W。該等基板台可在形式上相似於或相同於圖1之基板台WTa、WTb。(在檢測裝置與微影裝置整合之實例中,該等基板台可甚至為相同 基板台。)粗略***及精細***可經組態以相對於量測光學系統準確地定位基板。提供各種感測器及致動器(例如)以獲取所關注目標之位置,且將所關注目標帶入至接物鏡916下方之位置中。通常將對橫越基板W之不同部位處之目標進行許多量測。基板支撐件可在X及Y方向上移動以獲取不同目標,且在Z方向上移動以獲得光學系統在目標上之所要聚焦。當光學系統實務上保持實質上靜止且僅基板移動時,方便的是將操作考慮及描述為好像使接物鏡及光學系統處於基板上之不同部位。倘若基板與光學系統之相對位置正確,則原則上彼等基板及光學系統中之一或兩者在真實世界中是否移動不重要。
當輻射光束入射於光束分光器上時,該輻射光束之部分透射通過該光束分光器(部分反射表面915)且遵循朝向參考鏡面914之參考路徑RP。
由基板反射之輻射(包括由任何度量衡目標T繞射之輻射)係由透鏡916收集且遵循收集路徑CP,在收集路徑CP中,輻射通過部分反射表面915而傳遞至偵測器919中。偵測器可位於處於透鏡916之焦距F的背向投影式光瞳平面P中。實務上,光瞳平面自身可為不可近接的,且可替代地藉由輔助光學件(圖中未繪示)重新成像至位於所謂的共軛光瞳平面P'中之偵測器上。該偵測器可為二維偵測器,使得可量測基板目標T之二維角散射光譜或繞射光譜。在光瞳平面或共軛光瞳平面中,輻射之徑向位置界定輻射在經聚焦光點S之平面中的入射角/出射角,且圍繞光軸O之角位置界定輻射之方位角。偵測器919可為(例如)CCD或CMOS感測器陣列,且可使用為(例如)每圖框40毫秒之積分時間。
參考路徑RP中之輻射被投影至同一偵測器919之不同部分上或替代地被投影至不同偵測器(圖中未繪示)上。參考光束常常用於(例如)量測入 射輻射之強度,以允許使在散射光譜中量測之強度值正規化。
照明系統912之各種組件可調整以在同一裝置內實施不同度量衡「配方」。可(例如)由干涉濾光器之集合實施彩色濾光器912b以選擇在(比如)405奈米至790奈米或甚至更低(諸如,200奈米至300奈米)之範圍內的不同所關注波長。干涉濾光器可為可調諧的,而非包含不同濾光器集合。可使用光柵來代替干涉濾光器。偏振器912c可為可旋轉的或可調換的以便在輻射光點S中實施不同偏振狀態。孔隙器件913可經調整以實施不同照明剖面。孔隙器件913位於與接物鏡916之光瞳平面P及偵測器919之平面共軛之平面P"中。以此方式,由孔隙器件界定之照明剖面界定入射於傳遞通過孔隙器件913上之不同部位的基板輻射上之光之角分佈。
偵測器919可量測在單一波長(或窄波長範圍)下之散射光之強度、分離地在多個波長下之散射光之強度,或遍及一波長範圍而整合之散射光之強度。此外,該偵測器可分別量測橫向磁偏振光及橫向電偏振光之強度,及/或橫向磁偏振光與橫向電偏振光之間的相位差。
在度量衡目標T提供於基板W上的情況下,此可為1-D光柵,其經印刷使得在顯影之後,長條係由固體抗蝕劑線形成。目標可為2-D光柵,其經印刷成使得在顯影之後,光柵係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。長條、導柱或通孔可替代地經蝕刻至基板中。此圖案對微影投影裝置(特別是投影系統PS)中之色像差敏感。照明對稱性及此等像差之存在將顯露於經印刷光柵中之變化中。因此,經印刷光柵之散射量測資料用於重新建構光柵。1-D光柵之參數(諸如,線寬及形狀)或2-D光柵之參數(諸如,導柱或通孔寬度或長度或形狀)可被輸入至藉由處理單元PU自印刷步驟及/或其他散射量測程序之知識而執行之重新建構程序。本文中所揭示之技術 不限於光柵結構之檢測,且任何目標結構(包括空白基板或在上面僅具有扁平層之基板)包括於術語「目標結構」內。
除了藉由重新建構進行參數之量測以外,角解析散射量測亦有用於產品及/或抗蝕劑圖案中之特徵之不對稱性之量測。不對稱性量測之特定應用係針對疊對之量測,其中目標包含疊置於另一組週期性特徵上的一組週期性特徵。舉例而言,在上文所引證之已公開專利申請案US2006066855A1中描述使用圖9之器具進行不對稱性量測的概念。簡言之,雖然目標之繞射光譜中之繞射階之位置係僅藉由目標之週期性予以判定,但繞射光譜中之強度位準之不對稱性指示構成該目標的個別特徵中之不對稱性。在圖9之實例中(其中偵測器919可為影像感測器),繞射階中之此不對稱性直接呈現為由偵測器919記錄之光瞳影像中的不對稱性。可藉由單元PU中之數位影像處理來量測此不對稱性,且相對於已知疊對值來校準此不對稱性。在混合度量衡系統中,可使用來自多於一個度量衡裝置242、244之光譜來改良不對稱性之量測。
如亦所知,實施角解析散射量測之檢測裝置可具備調適以用於執行所謂的暗場成像。出於簡明起見,此處不說明此等調適。經施加至小目標上之疊對量測之暗場成像之實例係自引言中所提及且以引用方式併入本文中之專利公開案為吾人所知。暗場成像分支可與用於在角解析散射量測之前獲取目標位置且用於在俘獲光譜期間聚焦光點S之光學系統組合。不同照明模式藉由使用不同孔隙器件913係可能的。
混合度量衡系統實例
圖10以示意性形式說明作為圖1之混合度量衡系統240之一實例的混合度量衡系統1000。該混合度量衡系統可為獨立器件,或可併入於微影 裝置LA或微影製造單元LC中。當然,該裝置可與作為較大度量衡系統之部分之其他裝置(諸如,SEM裝置)結合地使用。
在此實例中,混合度量衡系統1000包括使用呈掠入射之EUV輻射之第一度量衡裝置1002,該第一度量衡裝置1002可與以上裝置300或700相似。以散射計之形式提供第二度量衡裝置1004,該第二度量衡裝置1004可與圖9之裝置900相似。第一度量衡裝置1002包含第一輻射源1010、第一照明系統1012、基板支撐件1016、第一偵測系統1018及處理器1020。在此實例中,源1010包含(例如)基於高階諧波產生(HHG)技術之EUV或x射線輻射之產生器。此等源可購自(例如)美國科羅拉多州博爾德市(Boulder Colorado)之KMLabs(http://www.kmlabs.com/)。輻射源之主組件為泵激雷射1030及HHG氣胞1032。氣體供應件1034將合適氣體供應至氣胞,在該氣胞中,該合適氣體視情況由電源1036離子化。泵激雷射可(例如)為具有光學放大器之基於光纖之雷射,從而產生每脈衝持續小於1ns(1奈秒)的紅外線輻射之脈衝,其中脈衝重複率視需要達至若干兆赫茲。該波長可為(例如)大約1μm(1微米)。雷射脈衝作為第一輻射光束1040而被遞送至HHG氣胞1032,在該氣胞中將輻射之部分轉換為較高頻率,第一輻射被轉換成包括具有所要EUV波長之相干輻射之光束1042。
輻射可含有多個波長。若該輻射亦為單色的,則可簡化量測計算(重新建構),但在運用HHG的情況下較易於產生具有若干波長之輻射。此等情形為設計選擇事項,且甚至可為同一裝置內之可選擇選項。不同波長將(例如)在對不同材料之結構成像時提供不同等級之對比度。舉例而言,為了檢測金屬結構或矽結構,可將不同波長選擇為用於對(碳基)抗蝕劑之特徵成像或用於偵測此等不同材料之污染的波長。可提供一或多個濾光器件 1044。舉例而言,諸如鋁(Al)薄膜之濾光器可用以截斷基本IR輻射以免進一步傳遞至檢測裝置中。可提供光柵以自氣胞中產生之波長當中選擇一或多個特定諧波波長。在真空環境內可含有光束路徑中之一些或全部,應記住,EUV輻射在空氣中行進時會被吸收。輻射源1010及照明光學件1012之各種組件可為可調整的以在同一裝置內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振為可選擇的。
對於大容量製造應用,對合適的源之選擇將由成本及硬體大小導引,而不僅由理論能力導引,而且此處將HHG源選為實例。原則上可應用的其他類型之源亦為可得的或在開發中。實例為同步加速器源、FEL(自由電子雷射)源,及所謂的x射線雷射。亦可使用基於逆康普頓散射之源。取決於在檢測下之結構之材料,不同波長可提供至下部層中之所要程度之穿透。為了解析最小器件特徵以及最小器件特徵當中之缺陷,則短波長很可能為較佳的。舉例而言,可選擇在1奈米至20奈米或1奈米至10奈米之範圍內之波長。短於5奈米之波長在自半導體製造中通常所關注之材料反射時遭受極低臨界角。因此,選擇大於5奈米之波長將會在較高入射角下提供較強信號。另一方面,若檢測任務係用於偵測某一材料之存在(例如)以偵測污染,則高達50奈米之波長可為有用的。
經濾光光束1042自第一輻射源1010進入檢測腔室1050,在檢測腔室1050中,包括所關注結構之基板W由基板支撐件1016固持以用於檢測。所關注結構經標註為T。檢測腔室1050內之氛圍係由真空泵1052維持為接近真空,使得EUV輻射可在無不當衰減的情況下傳遞通過該氛圍。照明光學件1012具有將輻射聚焦成經聚焦光束1056之功能,且可包含(例如)二維彎曲鏡面,或一系列一維彎曲鏡面,如上文所描述。執行該聚焦以在投影 至所關注結構上時達成直徑低於10微米之圓形或橢圓形光點。基板支撐件1016包含(例如)X-Y平移載物台及旋轉載物台,藉由X-Y平移載物台及旋轉載物台,可使基板W之任何部分在所要定向上到達光束之焦點。因此,輻射光點S形成於所關注結構上。
反射輻射1060由偵測器1018俘獲且第一光譜被提供至處理器1020以用於計算目標結構T之屬性。第一照明系統1012及第一偵測系統1018因此形成第一度量衡裝置。此第一度量衡裝置可包含圖2至圖7中所展示之種類之EUV光譜反射計。亦可提供基板在一或多個維度上之傾斜。
在1004處示意性地指示混合度量衡系統內之第二度量衡裝置1004。此裝置包括第二輻射源(圖中未單獨地繪示)、第二照明系統(圖中未單獨地繪示)及分離偵測器(圖中未單獨地繪示)。此等組件可(例如)與圖8之光譜散射計之照明系統及偵測系統、圖9之角解析散射計、光譜橢偏儀及/或光譜米勒橢偏儀相同。光學設計可經調適以視需要使用反射光學件。第二度量衡裝置1004可替代地或另外包括EUV度量衡裝置。舉例而言,其可使用EUV輻射以與實例第一度量衡裝置相同之方式但以更接近於法線方向N之不同入射角來執行光譜反射量測術。
為了輔助光點S與所要產品結構之對準及聚焦,第二度量衡裝置1004亦可提供使用在度量衡處理器1020之控制下之輔助輻射的輔助光學件。度量衡處理器1020亦可與位置控制器1072通信,位置控制器1072操作平移載物台及旋轉載物台。處理器1020經由感測器接收關於基板之位置及定向之高度準確之回饋。感測器1074可包括(例如)干涉計,其可給出大約皮米之準確度。
在混合度量衡系統1000之操作中,由第一偵測系統1018俘獲之第一 光譜資料1082被遞送至度量衡處理單元1020。由第二度量衡裝置1004內之第二偵測系統俘獲之第二光譜資料1084被遞送至度量衡處理單元1020,且與第一光譜資料一起使用以計算所關注參數之一或多個量測。如將解釋,組合資料之方式可不同於已知混合度量衡系統中組合資料之方式。舉例而言,基於自度量衡裝置中之一者獲得之光譜資料,在另一度量衡裝置俘獲光譜之前,可調整彼另一裝置之度量衡配方。替代地或另外,在使用自另一度量衡裝置獲得之光譜資料計算結構之屬性之前,自度量衡裝置中之一者獲得之光譜資料可用於表徵整個目標結構T之部分之結構及/或材料。所有此等操作可藉由度量衡處理器1020自動化。
圖11說明混合度量衡系統1100之實例,其中第一輻射源之部分與第二輻射源共用。應瞭解,就成本及實體空間而言,在單個混合度量衡系統內提供多個輻射源可具有挑戰性。尤其針對提供高亮度及/或波長之控制的彼等現代源,提供泵激雷射。泵激雷射可經設計以產生在飛秒時間範圍內之脈衝。使用泵激雷射之輻射源之實例為圖10中所說明之較高諧波產生(HHG)源。另一實例為超連續光譜源,其用於在已知散射計中提供寬頻帶輻射。
在圖11中,吾人看見混合度量衡系統操作模式(a)及(b)。在該系統之主體內,第一照明系統IL1及第一偵測系統DET1形成第一度量衡裝置,該第一度量衡裝置可為EUV光譜反射計。在此等組件之間,提供第二照明系統IL2及第二偵測系統DET2以形成第二度量衡裝置。在圖11之(a)中,使用HHG源操作第一度量衡裝置。定位可移動鏡面1102以將泵輻射自泵激雷射LAS導向至HHG胞元中。第一輻射產生於如上文如此描述之HHG胞元中,且接著至第一照明系統IL1中。在圖11之(b)中,第二度量衡裝置使 用(例如)超連續光譜產生器SCG操作。用於此目的之元件為(例如)光子晶體光纖。可移動鏡面1102移動至第二位置,使得來自泵激雷射LAS之輻射進入超連續光譜產生器。此產生待供應至第二照明系統IL2之第二輻射。
應用實例
圖12展示混合度量衡系統之通用實例,其中提供第一度量衡裝置、第二度量衡裝置且視情況提供第三度量衡裝置。每一度量衡裝置包含源SRC1/2/3、照明系統IL1/2/3及偵測系統DET1/2/3。可產生包括用於執行之EUV度量衡裝置244及用於執行較習知散射量測之較長波長光學度量衡裝置240兩者的混合度量衡裝置。兩個裝置可於同一基板W之相同部分或不同部分上同時地工作。該兩個裝置實務上可在不同時間操作,同時共用共同組件,諸如,基板處置及定位系統。度量衡裝置自身可與微影裝置LA整合抑或在微影製造單元LC內。在度量衡處理單元MPU內,提供專用模組1210-1/2/3以在一定程度上處理自偵測系統DET1/2/3中之每一者接收之光譜資料。經預處理之結果自此等專用模組被遞送至混合處理模組1212,混合處理模組1212組合來自個別光譜之資訊以獲得目標結構T之所要參數之量測。
圖13展示混合度量衡系統1300之另一實例。在此實例中,專用處理模組1310-1接收由第一偵測系統DET1使用第一輻射1320-1俘獲之第一光譜資料。此第一光譜資料可為(例如)來自掠入射EUV輻射光束之光譜反射計資料。此第一光譜資料可包括使用圖2至圖7之裝置中之一者的零階及/或高階光譜。接著使用輻射1320-2操作第二度量衡裝置,且第二光譜資料係由第二偵測系統DET2俘獲。然而,在操作第二度量衡裝置之前,回應於由處理模組1310-1計算之參數而發送第二輻射源SRC2及/或第二照明系 統IL2之設定。
在操作第二度量衡裝置之後,由混合處理模組1312處理第二光譜資料以獲得目標結構T之所要參數之量測。在一些實施例中,第一光譜資料可在混合處理模組1312中直接或間接與第二光譜資料組合。舉例而言,第一光譜資料可用於使處理第二光譜資料之參數變化。在其他實施例中,有可能僅出於控制用於獲得第二光譜資料之配方而獲得第一光譜資料,且第一光譜資料不直接用於獲得最終量測。
特別參看圖12至圖15之實例,本文中所描述之方法及裝置亦可應用於諸如疊對之不對稱性相關特徵之量測。半導體產品中之諸層之間的疊對在一些入射角及/或波長下由於輻射之淺穿透深度可難以使用EUV光譜反射量測術進行量測。儘管如此,藉由提供具有廣泛範圍之波長(例如1奈米至100奈米)的所說明之裝置且在於第二度量衡裝置中使用升高之入射角之可能性的情況下,可預期疊對之實用量測。
圖14展示混合度量衡系統1400之另一實例。在此實例之一個實施例中,所關注參數與目標結構之不對稱性相關(例如)以獲得疊對之量度。如圖14之(b)處所展示,目標結構T可包含光柵特徵T1及下部層,以及上部層中之T2。此等結構中之任一者或兩者可埋入在另外層下方,圖中未繪示。當量測疊對時,已知方法之經報告量測可對不由疊對如此造成之不對稱性極敏感。使用圖14之系統之混合度量衡技術的應用可幫助隔離彼等效應,該等效應係真正地歸因於來自具有其他原因之不對稱性之所關注參數。
可在圖14之(b)之經放大示意性細節中看出可改良疊對量測之準確度的一種方式。用於第一度量衡裝置中之第一輻射1420-1具有掠入射且極少 地穿透至形成於基板W上之層之堆疊中。第一光譜資料係由第一偵測系統DET1俘獲,且具有來自下部層之極小影響。接著可由專用模組1410-1量測且報告含有光柵特徵T2之上部層之屬性。具有較高入射角且有可能具有不同波長特性及其他屬性之第二輻射1420-2較完全地穿透至該堆疊中。因此,第二光譜資料含有與所關注參數(具體言之為疊對)相關之不對稱性資訊。在於混合處理模組1412中組合此等光譜之處理的情況下,可使用自第一光譜獲得之知識調整在第二光譜中進行之疊對量測以移除下部層之影響。
層之間的疊對僅僅為目標結構之不對稱性相關參數之一個實例。在多重圖案化程序中,不在一個圖案化操作中而是在兩個或多於兩個圖案化步驟中在產品之一個層中形成結構。因此,舉例而言,可使結構之第一群體與結構之第二群體交錯,且在不同步驟中形成該等群體,以便達成比一個步驟單獨可產生之解析度高的解析度。雖然群體之置放相對於基板上之其他特徵應相同且完美,但當然,每一真實圖案展現某一位置偏移。群體之間的任何無意位置偏移可被視為某形式的疊對,且可藉由由多重圖案化程序形成之目標光柵或產品特徵之不對稱性予以量測。對於簡單光柵結構,亦可量測其他類型之不對稱性,例如,側壁不對稱性及溝槽底部不對稱性。此外,本文中描述使用混合度量衡系統,可縮減此等不同不對稱性相關參數之間的串擾,以隔離所關注參數之量測。
雖然可自(零階)反射光譜310、710量測不對稱性(在圖3及圖7之實例中),但不對稱性資訊將在於圖7之實例中偵測到之一階繞射光譜752中較強。因此,EUV度量衡之方法可包括使用表示來自週期性結構之一階繞射光譜之信號SF來量測該結構中之不對稱性。可僅在一個定向上量測該結 構,或可在旋轉(Rz)180°之定向上量測該結構。如自可見波長下之以繞射為基礎之疊對所知,可藉由比較+1階繞射輻射與-1階繞射輻射之強度而計算不對稱性。藉由將目標旋轉180°,可獲得信號SF(+1)及SF(-1)且比較信號SF(+1)與SF(-1)。可藉由重新建構方法計算如目標之任何其他屬性之不對稱性。替代地,與先前校準組合之更簡單計算可更直接地基於比較+1階光譜與-1階光譜。然而,相比於簡單地比較單一波長下之+1階強度與-1階強度,在結合光譜途徑使用全重新建構的情況下,可得到之資訊可輔助更準確量測。在EUV反射量測術中,一個優點是可使目標由產品特徵或類產品特徵製成,此情形在使用較長波長之當前光學技術的情況下係不可能的。預期對疊對之敏感度大於當前工具。藉由在圖14之混合度量衡系統中組合不同類型的量測,可獲得準確度之進一步改良。
在使用諸如上文所提及之HHG及ICS類型之現代雷射泵浦源的情況下,相較於習知源,可在一或多個所要波長中提供高功率。因此可獲得至堆疊中之適當穿透,即使在EUV專利中之單一波長之情況下。當然,第二輻射之波長比EUV輻射之波長長。可藉由變為較長波長來增加穿透及對比度,而作為折衷,可能損失空間解析度。在使用本文中所揭示之原理及所描述之源以及光學系統的情況下,熟習此項技術者在設計有效混合度量衡系統方面具有全範圍的選項供選擇。
裝置300、700中之任一者可用作諸如圖1中示意性地說明之微影生產設施系統中之(EUV)第一度量衡裝置244。
圖15說明在微影製造系統之管理中應用混合量測方法(例如,圖10至圖14之方法中之任一者)。步驟將在此處列出,且接著予以較詳細地解釋:
S21:處理晶圓以在基板上產生結構
S22:橫越基板量測CD及/或其他參數
S23:更新度量衡配方
S24:更新微影及/或程序配方
在步驟S21處,使用微影製造系統橫越基板產生結構。在S22處,使用EUV度量衡裝置244以及另一度量衡裝置240及資訊源以量測橫越基板之結構之屬性。在步驟S23處,視情況,鑒於所獲得之量測結果更新EUV度量衡裝置244及/或另一度量衡裝置240之度量衡配方及校準。舉例而言,在EUV度量衡裝置244具有比光學度量衡裝置240低之產出率的情況下,使用EUV輻射之幾個準確量測可用以針對特定基板設計及程序改良使用光學度量衡裝置而進行之量測之計算。
在步驟S24處,比較CD或其他參數之量測與所要值,且使用CD或其他參數之該等量測以更新微影製造系統內之微影裝置及/或其他裝置之設定。藉由提供作為混合度量衡系統之部分之EUV度量衡裝置,可改良產出率及/或準確度且可改良整個微影生產設施之效能。即使在最小技術節點處,亦可直接量測產品特徵及/或類產品特徵,且可提供及量測晶粒內目標而不損耗過多區域。
在以上步驟中,假定量測橫越一基板及橫越多個基板之足夠目標使得可導出程序之統計上可靠模型。無需將CD及其他參數之剖面完全表達為橫越基板之變化。舉例而言,可將CD及其他參數之剖面表達為為所有場(在基板W上之不同部位處使用圖案化器件M之圖案化之每一例項)所共有之場內剖面及重複地疊置場內變化之低階場間變化。步驟S24中經調整之微影程序之設定可包括場內設定以及場間設定。該等設定可適用於裝置 之所有操作,或特定針對於特定產品層。
結論
儘管上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同之其他方式來實踐本發明。與如在基板及圖案化器件上實現之新穎目標相關聯,一實施例可包括一種含有機器可讀指令之一或多個序列之電腦程式,該等機器可讀指令描述一種量測基板上之目標及/或處理量測以獲得關於微影程序之資訊的方法。可(例如)在圖1之生產設施中之單元MPU 246內執行此電腦程式。亦可提供一種資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其中儲存有此電腦程式。
儘管已描述呈實體倍縮光罩之形式的圖案化器件,但本申請案中之術語「圖案化器件」亦包括傳送呈數位形式之(例如)結合可程式化圖案化器件而使用的圖案之資料產品。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許之情況下不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而經固化。在抗蝕劑被固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。
在以下經編號條項中呈現根據本發明之另外實施例:
1.一種用於量測藉由一微影程序製造之一結構之一屬性的混合度量衡裝置,該混合度量衡裝置包含:(a)一第一照明系統,其用於運用第一輻射輻照該結構,該第一輻射 包含在1奈米至100奈米之範圍內之一或多個波長;(b)一第一偵測系統,其用於偵測包含由該週期性結構反射之該第一輻射之至少部分的一第一光譜;(c)一第二照明系統,其用於運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)一第二偵測系統,其用於偵測包含由該週期性結構反射之該第二輻射之至少部分的一第二光譜;(e)一處理系統,其用於使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
2.如條項1之系統,其中該處理系統經配置以使用該所偵測到之第一光譜以控制用於該第二光譜之該俘獲的該第二照明系統及/或該第二偵測系統之一或多個參數。
3.如條項1之系統,其中該處理系統經配置以使用該所偵測到之第二光譜以控制用於該第一光譜之該俘獲的該第一照明系統及/或該第一偵測系統之一或多個參數。
4.如任何前述條項之系統,其中對於該第一輻射,相對於平行於該基板之一方向之一掠入射角α小於45度,而對於該第二輻射,相對於垂直於該基板之一方向之極入射角θ小於45度。
5.如條項4之系統,其中該掠入射角大於2度。
6.如條項4之系統,其中用於該第一輻射之該掠入射角係在5°與45°之間,視情況在10°與30°之間。
7.如條項4、5或6之系統,其中用於該第一輻射之該掠入射角可 調整為一度量衡配方之一參數。
8.如任何前述條項之系統,其中該第一輻射在經投影至該結構上時具有小於10微米,視情況小於5微米,之一界限。
9.如任何前述條項之系統,其中該第一輻射之一入射方位角可調整為一度量衡配方之一參數。
10.如任何前述條項之系統,其中該第一輻射依序地或並行包含波長之一範圍,且其中該第一偵測系統為一光譜偵測系統,該第一光譜表示該經反射第一輻射中之一波長分佈。
11.如條項10之系統,其中該第一偵測系統經進一步配置以俘獲一高階光譜,該高階光譜表示由該結構繞射之一或多個高繞射階中之一波長分佈。
12.如任何前述條項之系統,其中該第二偵測系統為一光譜偵測系統,該第二光譜表示該經反射第一輻射中之一波長分佈。
13.如任何前述條項之系統,其中該第二偵測系統為一角解析偵測系統,該第一光譜表示該經反射第一輻射中之一繞射輻射分佈。
14.如任何前述條項之系統,其中該第二輻射包含在100奈米至1000奈米之範圍內之一或多個波長。
15.如條項14之系統,其中該第二輻射包含在350奈米至900奈米之範圍內之一或多個波長。
16.如條項1至13中任一項之系統,其中該第二輻射包含在1奈米至100奈米範圍內之一或多個波長。
17.如任何前述條項之系統,其中該處理器經配置以使用該第一光譜及該第二光譜以判定具有一或多個上部層及一或多個下部層之一結構之 一屬性,且其中該處理器經配置以使用該第一光譜以區分該上部層之屬性與整個該結構之屬性。
18.如任何前述條項之系統,其中該結構之該屬性與不對稱性相關。
19.如條項18之系統,其中該結構之該屬性為該上部層與該下部層中之子結構之間的疊對。
20.如任何前述條項之系統,其進一步包含用於產生該第一輻射之一第一輻射源及用於產生該第二輻射之一第二輻射源。
21.如條項20之系統,其中該第一輻射源及該第二輻射源共用一泵激雷射。
22.如條項20或21之系統,其中該第一輻射源為一較高諧波產生器源。
23.如條項20、21或22之系統,其中該第二輻射源為一較高諧波產生器源。
24.如條項20至23中任一項之系統,其中該第二輻射源為一超連續光譜源。
25.如條項20至23中任一項之系統,其中該第一輻射源及/或該第二輻射源為一逆康普頓散射源。
26.一種量測藉由一微影程序製造之一結構之一屬性的方法,該方法包含:(a)運用第一輻射輻照該結構,該第一輻射包含在1奈米至100奈米之範圍內之一或多個波長;(b)偵測包含由該週期性結構反射之該第一輻射之至少部分的一第一 光譜;(c)運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)偵測包含由該週期性結構反射之該第二輻射之至少部分的一第二光譜;(e)使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
27.如條項26之方法,其中執行步驟(e)以便使用該所偵測到之第一光譜以控制用於該第二光譜之該俘獲的該第二輻照步驟(c)及/或該第二偵測步驟(d)之一或多個參數。
28.如條項26之方法,其中執行步驟(e)以便使用該所偵測到之第二光譜以控制用於該第一光譜之該俘獲的該第一輻照步驟(a)及/或該第一偵測步驟(b)之一或多個參數。
29.如條項26至28中任一項之方法,其中對於該第一輻射,相對於平行於該基板之一方向之一掠入射角α小於45度,而對於該第二輻射,相對於垂直於該基板之一方向之極入射角θ小於45度。
30.如條項29之方法,其中該掠入射角大於2度。
31.如條項29之方法,其中用於該第一輻射之該掠入射角係在5°與45°之間,視情況在10°與30°之間。
32.如條項29、30或31之方法,其中用於該第一輻射之該掠入射角經調整為一度量衡配方之一參數。
33.如條項26至32中任一項之方法,其中該第一輻射在經投影至該結構上時具有小於10微米,視情況小於5微米,之一界限。
34.如條項26至33中任一項之方法,其中該第一輻射之一入射方位角經調整為一度量衡配方之一參數。
35.如條項26至34中任一項之方法,其中該第一輻射依序地或並行包含波長之一範圍,且其中該第一偵測步驟(b)使用一光譜偵測系統,該第一光譜表示該經反射第一輻射中之一波長分佈。
36.如條項10之方法,其中該第一偵測步驟(b)進一步包含俘獲一高階光譜,該高階光譜表示由該結構繞射之一或多個高繞射階中之一波長分佈。
37.如條項26至36中任一項之方法,其中該第二偵測步驟(d)使用一光譜偵測系統,該第二光譜表示該經反射第一輻射中之一波長分佈。
38.如條項26至37中任一項之方法,其中該第二偵測步驟(d)使用一角解析偵測系統,該第一光譜表示該經反射第一輻射中之一繞射輻射分佈。
39.如條項26至38中任一項之方法,其中該第二輻射包含在100奈米至1000奈米之範圍內之一或多個波長。
40.如條項39之方法,其中該第二輻射包含在350奈米至900奈米之範圍內之一或多個波長。
41.如條項26至38中任一項之方法,其中該第二輻射包含在1奈米至100奈米之範圍內之一或多個波長。
42.如條項26至41中任一項之方法,其中該第一光譜及該第二光譜係用於判定具有一或多個上部層及一或多個下部層之一結構之一屬性,且其中步驟(e)包括使用該第一光譜以區分該上部層之屬性與整個該結構之屬性。
43.如條項26至42中任一項之方法,其中該結構之該屬性與不對稱性相關。
44.如條項43之方法,其中該結構之該屬性為該上部層與該下部層中之子結構之間的疊對。
45.一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個週期性結構;量測該週期性結構之一或多個屬性以判定該微影程序之一或多個參數的一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中該量測該週期性結構之該等屬性之步驟包括使用如條項1至25中任一項之混合度量衡裝置來量測一屬性。
46.如條項45之器件製造方法,其中該功能器件圖案界定一臨界尺寸小於50奈米,視情況小於20奈米,之產品特徵。
47.一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個週期性結構;量測該週期性結構之一或多個屬性以判定該微影程序之一或多個參數的一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中該量測該週期性結構之該等屬性之步驟包括使用如條項26至44中任一項之方法量測一屬性。
關於微影裝置所使用之術語「輻射」及「光束」涵蓋所有類型之電 磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在1奈米至100奈米之範圍內的波長),以及粒子束,諸如離子束或電子束。
術語「透鏡」在內容背景允許的情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
對特定實施例之前述描述將因此充分地揭露本發明之一般性質:在不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者所瞭解之知識針對各種應用而易於修改及/或調適此等特定實施例,而無不當實驗。因此,基於本文中所呈現之教示及導引,此等調適及修改意欲在所揭示之實施例之等效者的涵義及範圍內。應理解,本文中之措辭或術語係出於藉由實例進行描述而非限制之目的,以使得本說明書之術語或措辭應由熟習此項技術者鑒於該等教示及該導引進行解譯。
本發明之廣度及範疇不應受上文所描述之例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。

Claims (15)

  1. 一種用於量測藉由一微影程序製造之一結構之一屬性(property)的混合(hybrid)度量衡裝置,該混合度量衡裝置包含:(a)一第一照明系統,其用於運用第一輻射輻照該結構,該第一輻射包含在1奈米至100奈米之範圍內之一或多個波長;(b)一第一偵測系統,其用於偵測包含由該結構反射之該第一輻射之至少部分的一第一光譜;(c)一第二照明系統,其用於運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之該範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)一第二偵測系統,其用於偵測包含由該結構反射之該第二輻射之至少部分的一第二光譜;(e)一處理系統,其用於使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
  2. 如請求項1之裝置,其中該處理系統經配置以使用該所偵測到之第一光譜以控制用於該第二光譜之俘獲的該第二照明系統及/或該第二偵測系統之一或多個參數。
  3. 如請求項1之裝置,其中該處理系統經配置以使用該所偵測到之第二光譜以控制用於該第一光譜之俘獲的該第一照明系統及/或該第一偵測系統之一或多個參數。
  4. 如請求項1至3中任一項之裝置,其中對於該第一輻射,相對於平行於基板之一方向之一掠入射角α小於45度,而對於該第二輻射,相對於垂直於該基板之一方向之極入射角θ小於45度。
  5. 如請求項1至3中任一項之裝置,其中該第一輻射依序地或並行包含波長之一範圍,且其中該第一偵測系統為一光譜偵測系統,該第一光譜表示該經反射第一輻射中之一波長分佈。
  6. 如請求項1至3中任一項之裝置,其中該第二偵測系統為一光譜偵測系統,該第二光譜表示該經反射第二輻射中之一波長分佈。
  7. 如請求項1至3中任一項之裝置,其中該第二偵測系統為一角解析偵測系統,該第一光譜表示該經反射第一輻射中之一繞射輻射分佈。
  8. 如請求項1至3中任一項之裝置,其中該處理器經配置以使用該第一光譜及該第二光譜以判定具有一或多個上部層及一或多個下部層之一結構之一屬性,且其中該處理器經配置以使用該第一光譜以區分該上部層之屬性與整個該結構之屬性。
  9. 如請求項1至3中任一項之裝置,其進一步包含用於產生該第一輻射之一第一輻射源及用於產生該第二輻射之一第二輻射源。
  10. 如請求項9之裝置,其中該第一輻射源及該第二輻射源共用一泵激雷射。
  11. 一種量測藉由一微影程序製造之一結構之一屬性的方法,該方法包含:(a)運用第一輻射輻照該結構,該第一輻射包含在1奈米至100奈米之範圍內之一或多個波長;(b)偵測包含由該結構反射之該第一輻射之至少部分的一第一光譜;(c)運用第二輻射輻照該結構,該第二輻射包含在1奈米至100奈米之該範圍內或在100奈米至1000奈米之範圍內之一或多個波長;(d)偵測包含由該結構反射之該第二輻射之至少部分的一第二光譜;(e)使用該所偵測到之第一光譜及該所偵測到之第二光譜以判定該結構之一屬性。
  12. 如請求項11之方法,其中執行步驟(e)以便使用該所偵測到之第一光譜以控制用於該第二光譜之俘獲的該第二輻照步驟(c)及/或該第二偵測步驟(d)之一或多個參數。
  13. 如請求項11之方法,其中執行步驟(e)以便使用該所偵測到之第二光譜以控制用於該第一光譜之俘獲的該第一輻照步驟(a)及/或該第一偵測步驟(b)之一或多個參數。
  14. 一種器件製造方法,其包含: 使用一微影程序將一圖案自一圖案化器件轉印(transferring)至一基板上,該圖案界定至少一個週期性結構;量測該週期性結構之一或多個屬性以判定該微影程序之一或多個參數的一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正(correction),其中該量測該週期性結構之該等屬性之步驟包括使用如請求項1至10中任一項之混合度量衡裝置量測一屬性。
  15. 一種器件製造方法,其包含:使用一微影程序將一圖案自一圖案化器件轉印至一基板上,該圖案界定至少一個週期性結構;量測該週期性結構之一或多個屬性以判定該微影程序之一或多個參數的一值;及根據該經量測屬性在該微影程序之後續操作中應用一校正,其中該量測該週期性結構之該等屬性之步驟包括使用如請求項11至13中任一項之方法量測一屬性。
TW105142817A 2015-12-23 2016-12-22 度量衡方法、度量衡裝置及器件製造方法 TWI633299B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP15202273 2015-12-23
??15202273.7 2015-12-23

Publications (2)

Publication Number Publication Date
TW201732270A TW201732270A (zh) 2017-09-16
TWI633299B true TWI633299B (zh) 2018-08-21

Family

ID=54936933

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105142817A TWI633299B (zh) 2015-12-23 2016-12-22 度量衡方法、度量衡裝置及器件製造方法

Country Status (7)

Country Link
US (1) US10101671B2 (zh)
KR (1) KR102190305B1 (zh)
CN (2) CN108431692B (zh)
IL (2) IL259816B (zh)
NL (1) NL2017943A (zh)
TW (1) TWI633299B (zh)
WO (1) WO2017108404A1 (zh)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2017510A (en) * 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
WO2017211545A1 (en) 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology apparatus
US10393643B2 (en) 2016-09-07 2019-08-27 Rochester Institute Of Technology Optical vortex coronagraph scatterometer
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
CN111263918B (zh) 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
WO2018233946A1 (en) 2017-06-19 2018-12-27 Asml Netherlands B.V. METHODS AND APPARATUS FOR OPTICAL METROLOGY
KR102340174B1 (ko) 2017-06-20 2021-12-16 에이에스엠엘 네델란즈 비.브이. 엣지 러프니스 파라미터 결정
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
EP3435161A1 (en) 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
WO2019057578A1 (en) * 2017-09-22 2019-03-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS
CN111149062B (zh) * 2017-09-28 2022-11-04 Asml控股股份有限公司 量测方法和装置
WO2019079010A1 (en) 2017-10-19 2019-04-25 Cymer, Llc FORMATION OF MULTIPLE AERIAL IMAGES IN ONE LITHOGRAPHIC EXPOSURE PASSAGE
CN111542783A (zh) 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3518041A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. Inspection apparatus and inspection method
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
US11092892B2 (en) 2018-07-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate measuring device and a method of using the same
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
CN112639622B (zh) * 2018-09-04 2024-03-19 Asml荷兰有限公司 量测设备
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
WO2020114684A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
KR20200074316A (ko) * 2018-12-14 2020-06-25 삼성전자주식회사 분광 시스템, 광학 검사 방법 및 반도체 소자 제조 방법
CN113196176A (zh) 2018-12-21 2021-07-30 Asml荷兰有限公司 用于计量的方法和装置
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
EP3686673A1 (en) 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
EP3696606A1 (en) * 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
WO2020210787A1 (en) * 2019-04-12 2020-10-15 Kapteyn Murnane Laboratories, Inc. Processor-controlled high harmonic optimization with optimal gas handling
EP3786712A1 (en) * 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
CN114008530B (zh) * 2019-07-16 2024-05-31 Asml荷兰有限公司 光源及控制方法;用于测量应用的装置和方法
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
CN114303102B (zh) 2019-09-03 2024-06-11 Asml荷兰有限公司 用于准直宽带辐射的组件
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
CN114830026A (zh) 2019-10-17 2022-07-29 Asml荷兰有限公司 照射源和相关的量测设备
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
CN114651214A (zh) 2019-11-05 2022-06-21 Asml荷兰有限公司 测量方法和测量设备
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
WO2021121906A1 (en) 2019-12-18 2021-06-24 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3913429A1 (en) * 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
US20240004312A1 (en) 2020-11-30 2024-01-04 Asml Netherlands B.V. Metrology apparatus based on high harmonic generation and associated method
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
EP4194908A1 (en) 2021-12-10 2023-06-14 ASML Netherlands B.V. Aperture and method
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20130245806A1 (en) * 2012-03-13 2013-09-19 International Business Machines Corporation Automated hybrid metrology for semiconductor device fabrication
US20140019097A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
TW201543003A (zh) * 2014-04-21 2015-11-16 克萊譚克公司 以散射術量測為基礎之成像及關鍵尺寸度量

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3264263B2 (ja) 1999-02-25 2002-03-11 日本電気株式会社 重ね合わせ精度測定装置および測定方法
US7479633B2 (en) 2001-07-10 2009-01-20 International Business Machines Corporation Methodology for critical dimension metrology using stepper focus monitor information
US7193715B2 (en) 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US20080246951A1 (en) 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
DE10315086B4 (de) 2003-04-02 2006-08-24 Infineon Technologies Ag Verfahren und Vorrichtung zum Ausrichten von Halbleiterwafern bei der Halbleiterherstellung
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20070002336A1 (en) 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
WO2008013909A2 (en) 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102498441B (zh) * 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
NL2009273A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
KR101704591B1 (ko) 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
US20130242303A1 (en) 2012-03-13 2013-09-19 Nanometrics Incorporated Dual angles of incidence and azimuth angles optical metrology
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9329033B2 (en) 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US8892237B2 (en) 2013-03-15 2014-11-18 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures using different metrology tools
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR102214643B1 (ko) 2013-07-08 2021-02-10 노바 메주어링 인스트루먼츠 엘티디. 샘플 내 응력변형 분포 결정 방법 및 시스템
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US20170018069A1 (en) 2014-02-23 2017-01-19 Globalfoundries Inc. Hybrid metrology technique
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20130245806A1 (en) * 2012-03-13 2013-09-19 International Business Machines Corporation Automated hybrid metrology for semiconductor device fabrication
US20140019097A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
TW201543003A (zh) * 2014-04-21 2015-11-16 克萊譚克公司 以散射術量測為基礎之成像及關鍵尺寸度量

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MASATO NAKASUJI ET AL: "Development of Coherent Extreme-Ultraviolet Scatterometry Microscope with High-Order Harmonic Generation Source for Extreme-Ultraviolet Mask Inspection and Metrology", JAPANESE JOURNAL OF APPLIED PHYSICS, vol. 51, 20 June 2012 (2012-06-20), JP, pages 06FB09-1 ~ 6FB09-6 *
MASATO NAKASUJI ET AL: "Development of Coherent Extreme-Ultraviolet Scatterometry Microscope with High-Order Harmonic Generation Source for Extreme-Ultraviolet Mask Inspection and Metrology", JAPANESE JOURNAL OF APPLIED PHYSICS, vol. 51, 20 June 2012 (2012-06-20), JP, pages 06FB09-1 ~ 6FB09-6。

Also Published As

Publication number Publication date
CN113376975A (zh) 2021-09-10
CN108431692A (zh) 2018-08-21
US20170184981A1 (en) 2017-06-29
KR102190305B1 (ko) 2020-12-14
KR20180095679A (ko) 2018-08-27
CN108431692B (zh) 2021-06-18
IL278006A (en) 2020-11-30
NL2017943A (en) 2017-06-28
US10101671B2 (en) 2018-10-16
IL259816B (en) 2020-11-30
IL278006B (en) 2021-12-01
TW201732270A (zh) 2017-09-16
WO2017108404A1 (en) 2017-06-29
IL259816A (en) 2018-07-31

Similar Documents

Publication Publication Date Title
TWI633299B (zh) 度量衡方法、度量衡裝置及器件製造方法
TWI638228B (zh) 度量衡方法、度量衡裝置及器件製造方法
TWI692634B (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
TWI609250B (zh) 度量衡方法、度量衡裝置及元件製造方法
TWI631311B (zh) 在檢測裝置中執行量測之方法、檢測裝置及電腦程式產品
CN107924118B (zh) 量测方法、辐射源、量测设备及器件制造方法
US10088762B2 (en) Inspection apparatus and method
US10379448B2 (en) Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
TW201730546A (zh) 微影裝置及用於執行量測之方法
TWI634394B (zh) 產生照明輻射的方法及設備
CN110312968B (zh) 对准衍射光学***的方法和衍射光学元件
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
EP3441820A1 (en) Methods and apparatus for determining the position of a spot of radiation and inspection apparatus