TWI632656B - Semiconductor apparatus and method of manufacturing semiconductor apparatus - Google Patents

Semiconductor apparatus and method of manufacturing semiconductor apparatus Download PDF

Info

Publication number
TWI632656B
TWI632656B TW103146102A TW103146102A TWI632656B TW I632656 B TWI632656 B TW I632656B TW 103146102 A TW103146102 A TW 103146102A TW 103146102 A TW103146102 A TW 103146102A TW I632656 B TWI632656 B TW I632656B
Authority
TW
Taiwan
Prior art keywords
semiconductor wafer
substrate
wafer
voltage source
dielectric layers
Prior art date
Application number
TW103146102A
Other languages
Chinese (zh)
Other versions
TW201539696A (en
Inventor
黃毓慧
李明翔
溫國燊
陳士毅
楊敦年
陳成英
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201539696A publication Critical patent/TW201539696A/en
Application granted granted Critical
Publication of TWI632656B publication Critical patent/TWI632656B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一種互連結構和形成互連結構的方法。將兩個晶圓(和/或)晶粒結合在一起。形成多層介層窗互連結構而從第一基材之背側延伸至第一積體電路或第二積體電路上之金屬層中的互連結構。可藉由薄化第一晶圓之第一基材及形成穿過第一基材之第一開口來形成多層介層窗互連結構。第二開口從第一開口延伸至第一晶圓上的第一互連結構,且第三開口從第一晶圓上的第一互連結構延伸至第二晶圓上的第二互連結構。以導電材料填充第一、第二和第三開口,從而形成多層介層窗互連結構。 Provided is an interconnect structure and a method of forming the interconnect structure. Bonds two wafer (and / or) dies together. A multilayer interconnect window structure is formed to extend from the back side of the first substrate to the interconnect structure in the first integrated circuit or the metal layer on the second integrated circuit. The multilayer interlayer window interconnection structure can be formed by thinning the first substrate of the first wafer and forming a first opening through the first substrate. The second opening extends from the first opening to the first interconnect structure on the first wafer, and the third opening extends from the first interconnect structure on the first wafer to the second interconnect structure on the second wafer. . The first, second, and third openings are filled with a conductive material to form a multilayer via window interconnect structure.

Description

半導體裝置及半導體裝置製造方法 Semiconductor device and semiconductor device manufacturing method 【優先權聲明與交叉參照】 [Priority Statement and Cross Reference]

本申請案主張西元2014年2月13日申請之美國臨時申請案第61/939577號,名稱「多層介層窗互連結構及製造方法(MULTI-VIA INTERCONNECT STRUCTURE AND METHOD OF MANUFACTURE)」之優先權,以及西元2014年4月21日申請之美國申請案第14/257759號,名稱「多層介層窗互連結構及製造方法(MULTI-VIA INTERCONNECT STRUCTURE AND METHOD OF MANUFACTURE)」之優先權,在此併入此申請案以供參考。 This application claims the priority of US Provisional Application No. 61/939577 filed on February 13, 2014, entitled "MULTI-VIA INTERCONNECT STRUCTURE AND METHOD OF MANUFACTURE" , And the priority of US Application No. 14/257759 filed on April 21, 2014, entitled "MULTI-VIA INTERCONNECT STRUCTURE AND METHOD OF MANUFACTURE", here This application is incorporated for reference.

本揭露是有關於一種半導體裝置及半導體裝置製造方法。 The present disclosure relates to a semiconductor device and a method for manufacturing a semiconductor device.

由於各種電子構件(例如電晶體、二極體、電阻、電容...等等)之整合密度持續改進,半導體產業已經歷迅速的成長。最重要的部分為,整合密度之改善要來自最小特徵尺寸(minimum feature size)之一再的縮減,其可使有更多元件被整合至一特定區域中。就微型化的需求而 言,高速度、大頻寬以及低功率耗損和等待時間已在近年來成長,而更小和更有創造性的半導體晶粒封裝技術之需求也已成長。 As the integration density of various electronic components (such as transistors, diodes, resistors, capacitors, etc.) continues to improve, the semiconductor industry has experienced rapid growth. The most important part is that the improvement of integration density comes from the reduction of one of the minimum feature sizes, which allows more components to be integrated into a specific area. In response to the need for miniaturization In other words, high speed, high bandwidth, and low power consumption and latency have grown in recent years, and the demand for smaller and more creative semiconductor die packaging technologies has also grown.

隨著半導體技術的進一步演進,堆疊半導體裝置(例如3D積體電路(3DIC))已出現為有效的替代方案,以進一步縮小半導體裝置之實體尺寸。在堆疊半導體裝置中,主動電路(例如邏輯電路、記憶體電路、處理器電路和類似電路)係製造於不同的半導體晶圓上。二或多個半導體晶圓可被安裝在另一個半導體晶圓之頂部上,以進一步降低半導體裝置之形式因子(form factor)。 With the further evolution of semiconductor technology, stacked semiconductor devices (such as 3D integrated circuits (3DIC)) have emerged as effective alternatives to further reduce the physical size of semiconductor devices. In stacked semiconductor devices, active circuits (such as logic circuits, memory circuits, processor circuits, and similar circuits) are manufactured on different semiconductor wafers. Two or more semiconductor wafers can be mounted on top of another semiconductor wafer to further reduce the form factor of the semiconductor device.

兩個半導體晶圓可經由適當的接合技術而接合在一起。常用的接合技術包含直接接合(direct bonding)、化學活化接合(chemically activated bonding)、電漿活化接合(plasma activated bonding)、陽極接合(anodic bonding)、共晶接合(eutectic bonding)、玻璃介質接合(glass frit bonding)、黏著接合(adhesive bonding)、熱壓縮接合(thermo-compressive bonding)、反應性接合(reactive bonding)和/或類似接合技術。堆疊半導體裝置可提供更高的密度和更小的形式因子,且提供改善的效能和更低的功率耗損。 The two semiconductor wafers can be bonded together via suitable bonding techniques. Common bonding technologies include direct bonding, chemically activated bonding, plasma activated bonding, anodic bonding, eutectic bonding, and glass dielectric bonding ( glass frit bonding, adhesive bonding, thermo-compressive bonding, reactive bonding, and / or the like. Stacked semiconductor devices can provide higher density and smaller form factors, and provide improved performance and lower power consumption.

本揭露提出一種半導體裝置,此半導體裝置包含第一半導體晶片、第二半導體晶片以及多個多層介層窗(multi-via)互連結構。第一半導體晶片包含第一基材、 多個第一介電層以及多個第一金屬線。此些第一金屬線係形成於第一基材上的此些第一介電層中。第一基材具有小於大約5微米(μm)之厚度。第二半導體晶片具有表面,此表面係接合至第一半導體晶片之第一表面。第二半導體晶片包含第二基材、多個第二介電層以及多個第二金屬線。此些第二金屬線係形成於第二基材上的此些第二介電層中。此些多層介層窗互連結構之第一多層介層窗互連結構從第一半導體晶片之第二表面延伸至第一半導體晶片中之此些第一金屬線之第一者以及至第二半導體晶片中之此些第二金屬線之第二者。 The present disclosure proposes a semiconductor device. The semiconductor device includes a first semiconductor wafer, a second semiconductor wafer, and a plurality of multi-via interconnect structures. The first semiconductor wafer includes a first substrate, A plurality of first dielectric layers and a plurality of first metal lines. The first metal wires are formed in the first dielectric layers on the first substrate. The first substrate has a thickness of less than about 5 micrometers (μm). The second semiconductor wafer has a surface which is bonded to the first surface of the first semiconductor wafer. The second semiconductor wafer includes a second substrate, a plurality of second dielectric layers, and a plurality of second metal lines. The second metal wires are formed in the second dielectric layers on the second substrate. The first multilayer interlayer window interconnect structures of the multilayer interlayer window interconnect structures extend from the second surface of the first semiconductor wafer to the first of the first metal lines in the first semiconductor wafer and to the first The second of these second metal lines in the two semiconductor wafers.

依據本揭露之一些實施例,上述此些多層介層窗互連結構之第一多層介層窗互連結構係電性連接至第一電壓源,且此些多層介層窗互連結構之第二多層介層窗互連結構係電性連接至第二電壓源,此第一電壓源係不同於此第二電壓源。 According to some embodiments of the present disclosure, the first multilayer interlayer window interconnection structures of the multilayer interlayer window interconnection structures described above are electrically connected to a first voltage source, and the multilayer interlayer window interconnection structures are The second multilayer interlayer window interconnection structure is electrically connected to a second voltage source, and the first voltage source is different from the second voltage source.

依據本揭露之又一些實施例,上述第一電壓源係提供第一電壓值,且上述第二電壓源係提供第二電壓值,此第一電壓值係不同於此第二電壓值。 According to some embodiments of the present disclosure, the first voltage source provides a first voltage value, and the second voltage source provides a second voltage value. The first voltage value is different from the second voltage value.

依據本揭露之又一些實施例,上述第一半導體晶片係第一技術節點晶片(technology node chip),且上述第二半導體晶片係第二技術節點晶片,此第一技術節點晶片係不同於此第二技術節點晶片之技術節點。 According to some embodiments of the present disclosure, the first semiconductor wafer is a first technology node chip, and the second semiconductor wafer is a second technology node wafer. The first technology node wafer is different from the first technology node chip. Second technology node technology node chip.

依據本揭露之又一些實施例,上述此些多層介層窗互連結構之間距係小於或等於大約10微米。 According to still other embodiments of the present disclosure, the distance between the above-mentioned multilayer interlayer window interconnection structures is less than or equal to about 10 microns.

依據本揭露之又一些實施例,上述此些多層介層窗互連結構之間距係小於或等於大約5微米。 According to still other embodiments of the present disclosure, the distance between the above-mentioned multilayer interlayer window interconnect structures is less than or equal to about 5 microns.

依據本揭露之又一些實施例,上述此些多層介層窗互連結構之間距係小於或等於大約1微米。 According to still other embodiments of the present disclosure, the distance between the above-mentioned multilayer interlayer window interconnect structures is less than or equal to about 1 micron.

依據本揭露之又一些實施例,上述第一半導體晶片係第一功能類型(functional type),且上述第二半導體晶片係第二功能類型,此第一功能類型係不同於此第二功能類型。 According to some embodiments of the present disclosure, the first semiconductor wafer is a first functional type, and the second semiconductor wafer is a second functional type. The first functional type is different from the second functional type.

依據本揭露之又一些實施例,上述第一半導體晶片之基材具有小於大約3微米之厚度。 According to some embodiments of the present disclosure, the substrate of the first semiconductor wafer has a thickness of less than about 3 microns.

依據本揭露之又一些實施例,上述此些第一金屬線之第一者係不電性連接至在第一半導體晶片上之電路(electrical circuitry)。 According to some embodiments of the present disclosure, the first one of the first metal lines is not electrically connected to the electrical circuitry on the first semiconductor chip.

依據本揭露之又一些實施例,上述多層介層窗互連結構係提供在第一半導體晶片上之電路與在第二半導體晶片上之電路之間的電性連接,此多層介層窗互連結構不提供連接至在第一半導體晶片上之電路以及在第二半導體晶片上之電路的外部電性連接。 According to some embodiments of the present disclosure, the multilayer interlayer window interconnect structure provides electrical connection between a circuit on a first semiconductor wafer and a circuit on a second semiconductor wafer. The multilayer interlayer window interconnect The structure does not provide external electrical connections to the circuits on the first semiconductor wafer and the circuits on the second semiconductor wafer.

本揭露另提出一種半導體裝置,此半導體裝置包含第一半導體晶片、第二半導體晶片和多個多層介層窗互連結構。第一半導體晶片包含第一基材、多個第一介電層以及多個第一特徵元件(features)。此些第一特徵元件係形成於第一基材上的此些第一介電層中。第一半導體晶片係第一技術節點。第二半導體晶片具有表面,此表面係接合至第 一半導體晶片之第一表面。第二半導體晶片包含第二基材、多個第二介電層以及多個第二特徵元件,此些第二特徵元件係形成於第二基材上的此些第二介電層中。第二半導體晶片係第二技術節點,且第二技術節點係不同於第一技術節點。每一此些多層介層窗互連結構從第一半導體晶片之第二表面延伸至第一半導體晶片中之此些第一特徵元件之一或多者以及至第二半導體晶片中之此些第二特徵元件之一或多者。 The disclosure further provides a semiconductor device including a first semiconductor wafer, a second semiconductor wafer, and a plurality of multilayer interlayer window interconnection structures. The first semiconductor wafer includes a first substrate, a plurality of first dielectric layers, and a plurality of first features. The first characteristic elements are formed in the first dielectric layers on the first substrate. The first semiconductor wafer is a first technology node. The second semiconductor wafer has a surface which is bonded to the first A first surface of a semiconductor wafer. The second semiconductor wafer includes a second substrate, a plurality of second dielectric layers, and a plurality of second feature elements. The second feature elements are formed in the second dielectric layers on the second substrate. The second semiconductor wafer is a second technology node, and the second technology node is different from the first technology node. Each of the multilayer interlayer window interconnect structures extends from the second surface of the first semiconductor wafer to one or more of the first feature elements in the first semiconductor wafer and to the first semiconductor element in the second semiconductor wafer. One or more of the two characteristic elements.

依據本揭露之一些實施例,上述此些多層介層窗互連結構之第一多層介層窗互連結構係電性連接至第一電壓源,且此些多層介層窗互連結構之第二多層介層窗互連結構係電性連接至第二電壓源,此第一電壓源係不同此第二電壓源。第一電壓源係提供第一電壓值,且第二電壓源係提供第二電壓值,此第一電壓值係不同於此第二電壓值。 According to some embodiments of the present disclosure, the first multilayer interlayer window interconnection structures of the multilayer interlayer window interconnection structures described above are electrically connected to a first voltage source, and the multilayer interlayer window interconnection structures are The second multilayer interlayer window interconnection structure is electrically connected to a second voltage source, and the first voltage source is different from the second voltage source. The first voltage source provides a first voltage value, and the second voltage source provides a second voltage value. The first voltage value is different from the second voltage value.

依據本揭露之又一些實施例,此些多層介層窗互連結構之間距係小於或等於大約5微米。 According to still other embodiments of the present disclosure, the distance between the multilayer interlayer window interconnect structures is less than or equal to about 5 microns.

本揭露另提出一種半導體裝置之製造方法,此製造方法包含提供接合結構(bonded structure),此接合結構具有接合至第二半導體晶片之第一半導體晶片,此第一半導體晶片具有第一基材,且此第二半導體晶片具有第二基材。第一基材具有一或多個上方之第一介電層以及在此一或多個第一介電層中之第一連接墊(pad),且第二基材具有一或多個上方之第二介電層以及在此一或多個第二介電層中之第二連接墊。第一基材係接合至第二基材,使得此些 第一介電層面向此些第二介電層。第一半導體晶片係第一技術節點晶片,且第二半導體晶片係第二技術節點晶片,此第一技術節點晶片係不同於此第二技術節點晶片之技術節點。此方法更包含形成第一開口,此第一開口係延伸穿過第一基材;形成第二開口,此第二開口從第一開口延伸至第一連接墊;形成第三開口,此第三開口從第一連接墊延伸至第二連接墊;以及在第一開口、第二開口和第三開口中形成第一多層介層窗互連結構。 The disclosure further proposes a method for manufacturing a semiconductor device. The method includes providing a bonded structure having a first semiconductor wafer bonded to a second semiconductor wafer. The first semiconductor wafer has a first substrate. The second semiconductor wafer has a second substrate. The first substrate has one or more upper first dielectric layers and a first connection pad in the one or more first dielectric layers, and the second substrate has one or more upper dielectric layers. A second dielectric layer and a second connection pad in the one or more second dielectric layers. The first substrate is bonded to the second substrate such that these The first dielectric layer faces these second dielectric layers. The first semiconductor wafer is a first technology node wafer and the second semiconductor wafer is a second technology node wafer. The first technology node wafer is a technology node different from the second technology node wafer. The method further includes forming a first opening extending through the first substrate; forming a second opening extending from the first opening to the first connection pad; forming a third opening; the third opening The opening extends from the first connection pad to the second connection pad; and a first multilayer via window interconnection structure is formed in the first opening, the second opening, and the third opening.

依據本揭露之一些實施例,上述製造方法更包含形成第二多層介層窗互連結構。上述第一多層介層窗互連結構係電性連接至第一電壓源,且此第二多層介層窗互連結構係電性連接至第二電壓源,此第一電壓源係不同於此第二電壓源。第一電壓源係提供第一電壓值,且第二電壓源係提供第二電壓值,此第一電壓值係不同於此第二電壓值。 According to some embodiments of the present disclosure, the above-mentioned manufacturing method further includes forming a second multilayer interlayer window interconnection structure. The first multilayer interlayer window interconnect structure is electrically connected to a first voltage source, and the second multilayer interlayer window interconnect structure is electrically connected to a second voltage source. The first voltage source is different. This second voltage source. The first voltage source provides a first voltage value, and the second voltage source provides a second voltage value. The first voltage value is different from the second voltage value.

依據本揭露之又一些實施例,上述製造方法更包含形成第二多層介層窗互連結構。 According to some embodiments of the present disclosure, the above-mentioned manufacturing method further includes forming a second multilayer interlayer window interconnection structure.

依據本揭露之又一些實施例,上述第一多層介層窗互連結構與上述第二多層介層窗互連結構之間距係小於或等於大約10微米。 According to some embodiments of the present disclosure, a distance between the first multilayer interlayer window interconnect structure and the second multilayer interlayer window interconnect structure is less than or equal to about 10 microns.

依據本揭露之又一些實施例,上述第一多層介層窗互連結構與上述第二多層介層窗互連結構之間距係小於或等於大約5微米。 According to some embodiments of the present disclosure, a distance between the first multilayer interlayer window interconnect structure and the second multilayer interlayer window interconnect structure is less than or equal to about 5 microns.

依據本揭露之又一些實施例,上述第一多層介層窗互連結構與上述第二多層介層窗互連結構之間距係小於或等於大約1微米。 According to some embodiments of the present disclosure, a distance between the first multilayer interlayer window interconnect structure and the second multilayer interlayer window interconnect structure is less than or equal to about 1 micron.

100‧‧‧第一晶圓 100‧‧‧First wafer

102‧‧‧第一基材 102‧‧‧ the first substrate

104‧‧‧第一電路 104‧‧‧First Circuit

106‧‧‧第一層間介電層 106‧‧‧First interlayer dielectric layer

108‧‧‧第一接觸物 108‧‧‧ first contact

110、210‧‧‧附加層間介電層 110, 210‧‧‧ additional interlayer dielectric layer

112、112a~112e‧‧‧第一互連線 112, 112a ~ 112e‧‧‧First Interconnection Line

200‧‧‧第二晶圓 200‧‧‧Second wafer

202‧‧‧第二基材 202‧‧‧Second substrate

204‧‧‧第二電路 204‧‧‧Second Circuit

206‧‧‧第二層間介電層 206‧‧‧Second interlayer dielectric layer

208‧‧‧第二接觸物 208‧‧‧Second contact

212、212a~212d‧‧‧第二互連線 212, 212a ~ 212d‧‧‧Second Interconnection Line

226‧‧‧第一開口 226‧‧‧First opening

228‧‧‧保護塗層 228‧‧‧Protective coating

514‧‧‧第二開口 514‧‧‧Second opening

516‧‧‧凹陷 516‧‧‧ sunken

620‧‧‧多層介層窗互連結構 620‧‧‧multilayer interlayer window interconnection structure

770‧‧‧元件 770‧‧‧Element

801‧‧‧較上方之晶粒 801‧‧‧upper grain

802‧‧‧較下方之晶粒 802‧‧‧ Lower grain

820‧‧‧彩色濾波器 820‧‧‧Color Filter

822‧‧‧微透鏡 822‧‧‧Micro lens

824‧‧‧像素區域 824‧‧‧pixel area

902、1002‧‧‧導電跡線 902, 1002‧‧‧ conductive trace

1110、1112、1114、1116、1118、1120、1122‧‧‧步驟 1110, 1112, 1114, 1116, 1118, 1120, 1122‧‧‧ steps

P1‧‧‧間距 P 1 ‧‧‧ pitch

T1‧‧‧厚度 T 1 ‧‧‧ thickness

結合圖式來閱讀下面的詳細描述以最完整理解本揭露。需要強調的是,依據在工業上的標準實施,各種特徵未按照比例繪製。事實上,為了清楚討論,各種特徵的尺寸可任意增加或減少。 Read the following detailed description in conjunction with the drawings to fully understand the disclosure. It needs to be emphasized that according to industrial standards, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1至圖7係繪示依據一些實施例之形成具有多層介層窗互連結構之半導體裝置的各種中間階段之示意圖。 FIG. 1 to FIG. 7 are schematic diagrams illustrating various intermediate stages of forming a semiconductor device having a multilayer interlayer window interconnection structure according to some embodiments.

圖8係繪示依據一些實施例之多層介層窗結構連同影像感測器晶粒和邏輯晶粒之示意圖。 FIG. 8 is a schematic diagram illustrating a multilayer interlayer window structure together with image sensor die and logic die according to some embodiments.

圖9係繪示依據一些實施例之多層介層窗互連結構之平面圖。 FIG. 9 is a plan view illustrating a multilayer interlayer window interconnect structure according to some embodiments.

圖10係繪示依據一些實施例之多層介層窗互連結構之平面圖。 FIG. 10 is a plan view illustrating a multilayer interlayer window interconnection structure according to some embodiments.

圖11係一流程圖,其繪示依據一些實施例之多層介層窗互連結構之形成方法。 FIG. 11 is a flowchart illustrating a method for forming a multi-layer interlayer window interconnect structure according to some embodiments.

後續揭露提供許多不同實施例或範例,用以達成本發明的不同特徵。後續描述的元件及配置的特定範例,係用來簡要說明本揭露。當然,這些只是範例,並非用來限制本揭露。舉例而言,在後續說明中,第一特徵形成於第二特徵上,可能包括的實施例為第一特徵及第二特徵形成直接 接觸,以及可能包括的實施例為額外的特徵可能形成介於第一及第二特徵之間,使得第一及第二特徵可能非直接接觸。此外,在本揭露中,在許多實例中可能重複標號和/或文字。這些重複的使用係以簡化和明確說明為目的,其本身並非意指多個實施例和/或討論的設置之間的關係,除非有特別註明作為意指一關係。 Subsequent disclosures provide many different embodiments or examples to achieve different features of the invention. Specific examples of components and configurations described later are used to briefly explain the disclosure. Of course, these are just examples and are not intended to limit this disclosure. For example, in the following description, the first feature is formed on the second feature, and the embodiment that may be included is that the first feature and the second feature are formed directly. Contact, and possibly included embodiments, is that additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, in this disclosure, reference numerals and / or words may be repeated in many examples. These repeated uses are for the purpose of simplification and explicit description, and do not in themselves mean the relationship between the various embodiments and / or arrangements discussed, unless specifically noted as meaning a relationship.

再者,在此可使用空間相關的用語,例如「下方之(underlying)」、「下(below)」、「較下方(lower)」、「上方(overlying)」、「較上方(upper)」及類似用語,使敘述一部件或特徵與另一或另一些部件或特徵之間如圖式所繪示的關係更為容易。此些空間相關的用語意圖包括裝置除圖示所示的方位之外,在不同使用或操作中之額外的方位。另外,裝置也可具有其他方位(旋轉90度或其他方位),而在此使用的空間相關用語可據此類似方式被解釋。 Furthermore, space-related terms such as "underlying", "below", "lower", "overlying", "upper" can be used here. And similar terms make it easier to describe the relationship between one component or feature and another component or feature as illustrated in the figure. These spatially related terms are intended to include additional orientations of the device in different uses or operations in addition to the orientation shown in the illustration. In addition, the device may have other orientations (rotated 90 degrees or other orientations), and the spatially related terms used herein may be interpreted in a similar manner.

如以下更詳細的討論,例如在此討論的實施例提供多層介層窗(multi-via)互連結構,此多層介層窗互連結構可提供具有極小間距尺寸之接觸體,例如小於或等於大約10微米(μm)、小於或等於大約5微米、小於或等於大約1微米或類似者。此微小間距尺寸使設計者可實現低成本、低功率和高密度之結構設計。例如此些之實施例可有利於整合各種技術節點和/或各種功能性區塊,從而提供高價值的單晶積體設備(monolithic integrated device)之更高成本效益替代方案。相較之下,具有間距大於40微米或甚至大於10微米之現存方法不只將增加晶體尺寸和系統的 形式因子(form factor),且將增加電路寄生(parasitic)元件值(例如電阻、電容和電感),其將犧牲產品可靠度,或甚至產品可靠度(歸因於例如高電感值之電壓超越(voltage overshoot))。 As discussed in more detail below, for example, the embodiments discussed herein provide a multi-via interconnect structure that can provide contacts with extremely small pitch dimensions, such as less than or equal to About 10 micrometers (μm), less than or equal to about 5 micrometers, less than or equal to about 1 micrometer or the like. This tiny pitch size allows designers to implement low-cost, low-power and high-density structural designs. For example, these embodiments may facilitate the integration of various technology nodes and / or various functional blocks, thereby providing a higher cost-effective alternative to high-value monolithic integrated devices. In contrast, existing methods with pitches greater than 40 microns or even greater than 10 microns will not only increase crystal size and system Form factor, and will increase circuit parasitic component values (such as resistance, capacitance, and inductance), which will sacrifice product reliability, or even product reliability (attributable to, for example, high-inductance voltage overshoot ( voltage overshoot)).

舉例而言,一些實施例可將10奈米(nm)節點/16奈米節點鰭式場效電晶體(FinFET)積體電路晶粒與28奈米積體電路晶粒整合成單一封裝體,從而提供整合不同技術至單一封裝體之更大彈性。此可提供例如一技術節點之處理器晶粒與其它科技節點之記憶體的互連。如其它例子,一些實施例可將具有不同功能之不同晶粒(例如,影像感測器、類比裝置、記憶體、感測器、大型被動裝置(passive device)和類似者)整合成單一封裝體。舉例而言,較上方之晶粒與較下方之晶粒可形成記憶體堆疊體(memory stack),且在另一例子中,一晶粒可為影像感測器,且其它晶粒可為邏輯晶粒或特殊應用積體電路(ASIC)晶粒。 For example, some embodiments may integrate a 10 nanometer (nm) node / 16 nanometer node fin field effect transistor (FinFET) integrated circuit die and a 28 nanometer integrated circuit die into a single package, thereby Provides greater flexibility to integrate different technologies into a single package. This can provide, for example, the interconnection of the processor die of a technology node with the memory of other technology nodes. As other examples, some embodiments may integrate different dies with different functions (e.g., image sensors, analog devices, memory, sensors, large passive devices, and the like) into a single package . For example, the upper die and the lower die may form a memory stack, and in another example, one die may be an image sensor, and the other die may be logic Die or special application integrated circuit (ASIC) die.

圖1至圖7繪示依據一些實施例之形成兩個接合晶圓或晶粒之間之互連結構的不同中間階段之示意圖。首先參照圖1,圖1繪示依據各種實施例之在接合製程之前之第一晶圓100和第二晶圓200。在一些實施例中,第二晶圓200具有與第一晶圓100相似的結構,且為了以下討論,具有”2xx”之標號形式的第二晶圓200之特徵元件(features)與具有”1xx”之標號形式的第一晶圓100的特徵元件相似,其中”xx”為用於第一基材102和第二基材200之相同號 碼。在第一基材102和第二基材200上的各種元件各自被稱為”第一<元件>1xx”和”第二<元件>2xx”。 1 to 7 are schematic diagrams illustrating different intermediate stages of forming an interconnect structure between two bonded wafers or dies according to some embodiments. Referring first to FIG. 1, FIG. 1 illustrates a first wafer 100 and a second wafer 200 before a bonding process according to various embodiments. In some embodiments, the second wafer 200 has a structure similar to that of the first wafer 100, and for the following discussion, the features of the second wafer 200 having the “2xx” labeling form and having “1xx The characteristic elements of the first wafer 100 in the form of “” are similar, and “xx” is the same number used for the first substrate 102 and the second substrate 200. code. The various elements on the first substrate 102 and the second substrate 200 are referred to as "first <element> 1xx" and "second <element> 2xx", respectively.

在一些實施例中,第一晶圓100包含第一基材102,此第一基材102具有形成於其上的第一電路(共同由第一電路104所繪示)。第一基材102可包含例如塊狀矽(bulk silicon)、摻雜或未摻雜的基材、或絕緣層上半導體(semiconductor-on-insulator;SOI)基材之主動層。一般而言,絕緣層上半導體基材包含一層半導體材料,例如矽,形成於絕緣層上。此絕緣層可為例如埋設氧化物(buried oxide;BOX)層或氧化矽層。此絕緣層可提供於基材上,此基材一般為矽基材或玻璃基材。其它的基材亦可被使用,例如多層結構基材或漸變式基材(gradient substrate)。 In some embodiments, the first wafer 100 includes a first substrate 102 having a first circuit (commonly depicted by the first circuit 104) formed thereon. The first substrate 102 may include, for example, an active layer of bulk silicon, a doped or undoped substrate, or a semiconductor-on-insulator (SOI) substrate. Generally, the semiconductor substrate on the insulating layer includes a layer of semiconductor material, such as silicon, formed on the insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer. The insulating layer can be provided on a substrate, and the substrate is generally a silicon substrate or a glass substrate. Other substrates may be used, such as a multilayer structure substrate or a gradient substrate.

形成於第一基材102上的第一電路104可為任何適用於特定應用的電路。在一實施例中,第一電路104包含形成於第一基材102上的電子元件,以及一或多層介電層形成於電子元件上。金屬層可形成於介電層中或之間,以傳遞電子元件之間的電子訊號。電子元件亦可形成於一或多層的介電層中。 The first circuit 104 formed on the first substrate 102 may be any circuit suitable for a specific application. In one embodiment, the first circuit 104 includes an electronic component formed on the first substrate 102 and one or more dielectric layers are formed on the electronic component. A metal layer may be formed in or between the dielectric layers to transfer electronic signals between electronic components. Electronic components can also be formed in one or more dielectric layers.

舉例而言,第一電路104可具有各種N型金屬氧化半導體(N-type metal oxide semiconductor;NMOS)和/或P型金屬氧化半導體(P-type metal oxide semiconductor;PMOS)、電容、電阻、二極體、光二極體、熔絲(fuses)、或類似的裝置,此些裝置彼此互連以 完成一或多個功能。此些功能可包含記憶結構、處理結構、感測器、放大器、功率分佈器、輸入/輸出電路或類似功能。在本技術領域中具有通常知識者將理解到,上述範例僅提供為例示目的,以進一步解釋一些例示的實施例的應用。其它電路亦可被適當使用在特定的應用上。 For example, the first circuit 104 may have various N-type metal oxide semiconductors (NMOS) and / or P-type metal oxide semiconductors (PMOS), capacitors, resistors, Polar bodies, photodiodes, fuses, or similar devices that are interconnected with each other to Perform one or more functions. Such functions may include memory structures, processing structures, sensors, amplifiers, power distributors, input / output circuits, or similar functions. Those skilled in the art will appreciate that the above examples are provided for illustrative purposes only, to further explain the application of some illustrated embodiments. Other circuits can also be used appropriately for specific applications.

第一層間介電層(inter-layer dielectric layer;ILD layer)/金屬間介電層(inter-metallization dielectric layer;IMD layer)106亦繪示於圖1中。舉例而言,第一層間介電層106可由低介電常數(low-K dielectric)材料所形成,例如磷摻雜矽酸鹽玻璃(phosphosilicate glass;PSG)、硼磷摻雜矽酸鹽玻璃(borophosphosilicate glass;BPSG)、氟矽玻璃(fluorosilicate glass;FSG)、碳氧化矽(SiOxCy)、旋塗玻璃(Spin-On-Glass)、旋塗高分子(Spin-On-Polymers)、矽碳材料、上述之化合物、上述之複合物、上述之組合或類似物,此第一層間介電層106可藉由在本技術領域中所知的任意適當方法來形成,例如旋轉塗佈法、化學氣相沉積法(chemical vapor deposition;CVD)或電漿增強式化學氣相沉積法(plasma enhanced chemical vapor deposition;PECVD)。須注意的是,第一層間介電層106可包含多層介電層。 A first inter-layer dielectric layer (ILD layer) / inter-metallization dielectric layer (IMD layer) 106 is also shown in FIG. 1. For example, the first interlayer dielectric layer 106 may be formed of a low-K dielectric material, such as phosphorus-doped silicate glass (PSG), boron-phosphorus-doped silicate glass (borophosphosilicate glass (BPSG)), fluorosilicate glass (FSG), silicon oxycarbide (SiO x Cy ), spin-on-glass, spin-on-polymers, The silicon-carbon material, the above-mentioned compound, the above-mentioned compound, the above-mentioned combination, or the like, the first interlayer dielectric layer 106 may be formed by any appropriate method known in the art, such as spin coating Method, chemical vapor deposition (CVD) or plasma enhanced chemical vapor deposition (PECVD). It should be noted that the first interlayer dielectric layer 106 may include multiple dielectric layers.

第一接觸物(contacts)108被形成而穿過第一層間介電層106,以提供電性連接至第一電路104。第一接觸物108可藉由例如微影(photolithography)技術以沉 積並圖案化光阻材料於第一層間介電層106上而暴露第一層間介電層106的部分來形成,此第一層間介電層106的部分成為第一接觸物108。蝕刻製程,例如非等向乾蝕刻(anisotropic dry etch)製程,可用以創造開口於第一層間介電層106中。開口可襯有(lined with)擴散阻障層和/或黏著層(圖未繪示),且可填入導電材料。擴散阻障層可包含一或多層的氮化鉭(TaN)、鉭(Ta)、氮化鈦(TiN)、鈦(Ti)、鈷鎢合金(CoW)或類似材料,且導電材料包含銅、鎢、鋁、銀、上述之組合或類似材料,從而形成如圖1所繪示之第一接觸物108。 First contacts 108 are formed to pass through the first interlayer dielectric layer 106 to provide electrical connection to the first circuit 104. The first contact 108 may be deposited by, for example, photolithography technology. A patterned photoresist material is accumulated and formed on the first interlayer dielectric layer 106 to expose a portion of the first interlayer dielectric layer 106, and a portion of the first interlayer dielectric layer 106 becomes a first contact 108. An etching process, such as an anisotropic dry etch process, can be used to create openings in the first interlayer dielectric layer 106. The opening may be lined with a diffusion barrier layer and / or an adhesive layer (not shown), and may be filled with a conductive material. The diffusion barrier layer may include one or more layers of tantalum nitride (TaN), tantalum (Ta), titanium nitride (TiN), titanium (Ti), cobalt tungsten alloy (CoW), or similar materials, and the conductive material includes copper, Tungsten, aluminum, silver, a combination of the foregoing, or similar materials, thereby forming a first contact 108 as shown in FIG. 1.

一或多層的附加層間介電層110和第一互連線(interconnect lines)112a~112e(共同被稱為第一互連線112)形成於第一層間介電層106上。一般而言,一或多層的附加層間介電層110和相關的金屬層用以使電路彼此互相連接和/或提供外部電性連接的互相連接。附加層間介電層110可由低介電常數(low-K dielectric)材料所形成,例如藉由電漿增強式化學氣相沉積技術或高密度電漿化學氣相沉積法(high-density plasma CVD;HDPCVD)所形成的氟矽玻璃(fluorosilicate glass;FSG)或類似材料,且可包含中間蝕刻停止層(intermediate etch stop layer)。外部接觸物(圖未繪示)可於最上層中形成。 One or more additional interlayer dielectric layers 110 and first interconnect lines 112a to 112e (collectively referred to as first interconnect lines 112) are formed on the first interlayer dielectric layer 106. Generally speaking, one or more additional interlayer dielectric layers 110 and related metal layers are used to interconnect circuits to each other and / or to provide external electrical connections. The additional interlayer dielectric layer 110 may be formed of a low-K dielectric material, for example, by a plasma enhanced chemical vapor deposition technique or a high-density plasma CVD method; HDPCVD) is a fluorosilicate glass (FSG) or similar material, and may include an intermediate etch stop layer. External contacts (not shown) can be formed in the uppermost layer.

也應注意的是,一或多層的蝕刻停止層(圖未繪示)可位於相鄰的介電層之間,例如第一層間介電層106與附加層間介電層110之間。一般而言,蝕刻停止層提供一 機制,以在形成通孔和/或接觸物時停止蝕刻製程。蝕刻停止層可由介電材料形成,此介電材料具有與相鄰層(例如下方的第一基材102和上方的層間介電層106/110)不同的蝕刻選擇性。在一實施例中,蝕刻停止層可由氮化矽、碳氮化矽、碳氧化矽、氮化碳、上述之組合或類似材料所形成,此些蝕刻停止層可藉由化學氣相沉積技術或電漿增強式化學氣相沉積技術來形成。 It should also be noted that one or more etch stop layers (not shown) may be located between adjacent dielectric layers, such as between the first interlayer dielectric layer 106 and the additional interlayer dielectric layer 110. Generally speaking, the etch stop layer provides a Mechanism to stop the etching process when forming vias and / or contacts. The etch stop layer may be formed of a dielectric material having a different etch selectivity from adjacent layers (eg, the first substrate 102 below and the interlayer dielectric layers 106/110 above). In one embodiment, the etch stop layer may be formed of silicon nitride, silicon carbonitride, silicon oxycarbide, carbon nitride, a combination of the foregoing, or similar materials. These etch stop layers may be formed by chemical vapor deposition technology or Plasma enhanced chemical vapor deposition technology.

在一些實施例中,第一晶圓100和第二晶圓200可提供不同或相同的功能。舉例而言,第一晶圓100和第二晶圓200可形成記憶體疊層,且在其它例子中,一晶粒可為影像感測器,且另一晶粒可為邏輯晶粒或特殊應用積體電路晶粒。在此例子中,第一晶粒100為背側受光式感測器(backside illumination sensor;BIS),且第二晶粒為邏輯電路,例如特殊應用積體電路裝置。在此實施例中,第一電路104包含光主動區域(photo active region),例如藉由佈植雜質離子至磊晶層(epitaxial layer)中而形成之光二極體。另外,此光主動區域可為PN接面光二極體、PNP型光電晶體、NPN型光電晶體或類似者。此背側受光式感測器可在矽基材之磊晶層中形成。第二晶圓200可包含邏輯電路、類比至數位轉換器、資料處理電路、記憶體電路、偏壓電路(bias circuit)、參考電路或類似者。 In some embodiments, the first wafer 100 and the second wafer 200 may provide different or the same functions. For example, the first wafer 100 and the second wafer 200 may form a memory stack, and in other examples, one die may be an image sensor, and the other die may be a logic die or a special die. Apply integrated circuit die. In this example, the first die 100 is a backside illumination sensor (BIS), and the second die is a logic circuit, such as a special application integrated circuit device. In this embodiment, the first circuit 104 includes a photo active region, such as a photodiode formed by implanting impurity ions into an epitaxial layer. In addition, the light active region may be a PN junction photodiode, a PNP type photo-crystal, an NPN type photo-crystal, or the like. The backside photo-receiving sensor can be formed in an epitaxial layer of a silicon substrate. The second wafer 200 may include a logic circuit, an analog-to-digital converter, a data processing circuit, a memory circuit, a bias circuit, a reference circuit, or the like.

如另一例子,較上方之晶粒和較下方之晶粒可為相同或不同技術節點,例如10奈米、16奈米、28奈米或 類似者。舉例而言,此可使一技術節點之處理晶粒與另一技術節點之記憶體互連。 As another example, the upper and lower grains can be the same or different technology nodes, such as 10 nm, 16 nm, 28 nm, or Similar. For example, this can interconnect the processing die of one technology node with the memory of another technology node.

在一實施例中,第一晶圓100和第二晶圓200被排列為第一基材102與第二基材202的裝置側邊朝向彼此,如圖1所示。如以下更詳細之討論,開口將被形成以從第一晶圓100之背側(相對於裝置側邊)延伸至第二晶圓200之第二互連線212的選擇部分,使得第一晶圓100之選擇第一互連線112的部分亦將被暴露。此開口接著將以導電材料來填充,從而形成在第一晶圓100的背側上與至第一晶圓100和第二晶圓200的互連線之電性接觸。 In one embodiment, the first wafer 100 and the second wafer 200 are arranged such that the device sides of the first substrate 102 and the second substrate 202 face each other, as shown in FIG. 1. As discussed in more detail below, the opening will be formed to extend from the back side (relative to the device side) of the first wafer 100 to a selected portion of the second interconnect line 212 of the second wafer 200 such that the first crystal The portion of the circle 100 that selects the first interconnection line 112 will also be exposed. This opening will then be filled with a conductive material to form electrical contact with the interconnect lines to the first wafer 100 and the second wafer 200 on the back side of the first wafer 100.

圖2係繪示依據一實施例之接合後的第一晶圓100和第二晶圓200。如圖1所示,第一晶圓100可被疊層及接合於第二晶圓200之頂部上。第一晶圓100與第二晶圓200可藉由適當的方法來接合,例如直接接合、化學活化接合、電漿活化接合、陽極接合、共晶接合、玻璃介質接合、黏著接合、熱壓縮接合、反應性接合和/或類似接合技術,且可包含如介電質與介電質接合(dielectric-to-dielectric bonding)(例如氧化物與氧化物接合(oxide-to-oxide bonding))、金屬與金屬接合(metal-to-metal bonding)(例如銅與銅接合(copper-to-copper bonding))、混合接合或類似接合技術。在一些實施例中,第一晶圓100和第二晶圓200之接合表面可塗佈有一或多層接合層,例如氧化連接墊(pad oxide)和/或氧化高密度電漿(high-density plasma;HDP),以提供高品質的接合表面。 FIG. 2 illustrates the first wafer 100 and the second wafer 200 after bonding according to an embodiment. As shown in FIG. 1, the first wafer 100 may be stacked and bonded on top of the second wafer 200. The first wafer 100 and the second wafer 200 may be bonded by an appropriate method, such as direct bonding, chemical activation bonding, plasma activation bonding, anodic bonding, eutectic bonding, glass dielectric bonding, adhesive bonding, and thermal compression bonding , Reactive bonding and / or similar bonding techniques, and may include, for example, dielectric-to-dielectric bonding (e.g., oxide-to-oxide bonding), metal Metal-to-metal bonding (such as copper-to-copper bonding), hybrid bonding, or similar bonding techniques. In some embodiments, the bonding surfaces of the first wafer 100 and the second wafer 200 may be coated with one or more bonding layers, such as an oxide connection pad (pad). oxide) and / or high-density plasma (HDP) to provide a high-quality bonding surface.

應注意的是,上述接合可在晶圓級進行,例如第一晶圓100與第二晶圓200被接合在一起,且接著被分割為分離的晶粒。或者,上述接合可在晶粒至晶粒級(die-to-die)或晶粒至晶圓級(die-to-wafer level)進行。 It should be noted that the above-mentioned bonding may be performed at a wafer level, for example, the first wafer 100 and the second wafer 200 are bonded together and then divided into separate dies. Alternatively, the bonding may be performed at a die-to-die or die-to-wafer level.

如圖2所示,第一互連線112之選擇多者的圖案與第二互連線212之選擇多者的圖案對準。舉例而言,第一晶圓100之第一互連線112a、112b的圖案可與第二晶圓200之第二互連線212a的圖案對準,且第一晶圓100之第一互連線112c、112d的圖案可與第二互連線212b的圖案對準。如以下將被討論,第一多層介層窗結構可被形成以提供電性接觸至第一晶圓100之第一互連線112a、112b與第二晶圓200之第二互連線212a,且第二多層介層窗結構可被形成以提供電性接觸至第一晶圓100之第一互連線112c、112d與第二晶圓200之第二互連線212b,此第一多層介層窗結構在第一互連線112a與112b之間延伸,且此第二多層介層窗結構在第一互連線112c與112d之間延伸。在一些實施例中,第一互連線112a和112b以及相似的第一互連線112c和112d代表單一互連結構的部分,例如連接墊,此連接墊具有形成在其之中的開口。在其它實施例中,第一互連結構112a和112b以及相似的第一互連線112c和112d可代表二或多個導電線。 As shown in FIG. 2, the pattern of the multiple selection of the first interconnection line 112 is aligned with the pattern of the multiple selection of the second interconnection line 212. For example, the pattern of the first interconnection lines 112a, 112b of the first wafer 100 may be aligned with the pattern of the second interconnection line 212a of the second wafer 200, and the first interconnection of the first wafer 100 The pattern of the lines 112c, 112d may be aligned with the pattern of the second interconnection line 212b. As will be discussed below, a first multilayer interlayer window structure may be formed to provide electrical contact to the first interconnection lines 112a, 112b of the first wafer 100 and the second interconnection line 212a of the second wafer 200. In addition, a second multilayer interlayer window structure may be formed to provide electrical contact to the first interconnection lines 112c, 112d of the first wafer 100 and the second interconnection line 212b of the second wafer 200. This first The multilayer interlayer window structure extends between the first interconnection lines 112a and 112b, and the second multilayer interlayer window structure extends between the first interconnection lines 112c and 112d. In some embodiments, the first interconnect lines 112a and 112b and similar first interconnect lines 112c and 112d represent portions of a single interconnect structure, such as a connection pad having an opening formed therein. In other embodiments, the first interconnect structures 112a and 112b and similar first interconnect lines 112c and 112d may represent two or more conductive lines.

圖3係繪示依據一實施例之薄化製程結果。在第一晶圓100與第二晶圓200接合後,薄化製程可應用至第一晶圓100之背側。在第一基材102為背側受光式感測器之實施例中,薄化製程可使更多光線從第一基材之背側通過至光主動區域而不被基材所吸收。在背側受光式感測器於磊晶層中被製造之實施例中,第一晶圓100之背側可被薄化,直到磊晶層被暴露。此薄化製程可藉由使用適當的技術來達成,例如磨光(grinding)、研磨(polishing)、SMARTCUT®步驟、ELTRAN®步驟和/或化學蝕刻。其它適當的製程係在美國專利申請號8,048,807中描述,此申請案係結合在此作為參考。在一些實施例中,第一晶圓100之基材係薄化至厚度T1,例如小於或等於大約5微米,或例如小於或等於大約3微米。薄化第一晶圓100至例如上述之厚度可使淺介層(shallow via)之形成穿過第一基材102,其接著提供相鄰多層介層窗互連結構之間的更小間距。此薄化更減少晶圓至晶圓對準(wafer-to-wafer alignment)之焦點深度(depth of focus;DoF),其使介層窗至金屬連接墊的對準精密度(alignment resolution)更佳。鈍化層或介電層可沿著薄化基材之背側而形成,以保護基材(例如,矽基材)不受環境影響。 FIG. 3 illustrates the thinning process results according to an embodiment. After the first wafer 100 and the second wafer 200 are bonded, the thinning process may be applied to the back side of the first wafer 100. In the embodiment where the first substrate 102 is a back-side photo-sensing sensor, the thinning process allows more light to pass from the back side of the first substrate to the photoactive region without being absorbed by the substrate. In an embodiment in which the backside light receiving sensor is fabricated in an epitaxial layer, the backside of the first wafer 100 may be thinned until the epitaxial layer is exposed. This thinning process can be achieved by using appropriate techniques, such as grinding, polishing, SMARTCUT ® step, ELTRAN ® step, and / or chemical etching. Other suitable processes are described in US Patent Application No. 8,048,807, which is incorporated herein by reference. In some embodiments, the substrate of the first wafer 100 is thinned to a thickness T 1 , for example, less than or equal to about 5 microns, or, for example, less than or equal to about 3 microns. Thinning the first wafer 100 to a thickness such as that described above allows the formation of a shallow via to pass through the first substrate 102, which then provides a smaller pitch between adjacent multi-layered via window interconnect structures. This thinning further reduces the depth of focus (DoF) of the wafer-to-wafer alignment, which makes the alignment resolution of the interlayer window to the metal connection pads better. good. A passivation layer or a dielectric layer may be formed along the back side of the thinned substrate to protect the substrate (for example, a silicon substrate) from the environment.

現參照圖4,第一開口226形成在第一基材102中。如以下更詳細的討論,電性連接將被形成以從第一晶圓100之背側延伸至第二晶圓200之第二互連線212之選擇多者。第一開口226代表背側接觸將被形成於其之中的開口。 第一開口226可藉由使用微影技術來形成。一般而言,微影技術包含沉積光阻材料,此光阻材料接著被暴露和顯影,以去除此光阻材料之一部分。剩下的光阻材料保護下方之材料不被例如蝕刻製程等後續製程所影響。 Referring now to FIG. 4, a first opening 226 is formed in the first substrate 102. As discussed in more detail below, electrical connections will be formed to extend from the back side of the first wafer 100 to the second interconnect line 212 of the second wafer 200. The first opening 226 represents an opening in which a backside contact is to be formed. The first opening 226 may be formed by using a lithography technique. Generally speaking, lithography involves depositing a photoresist material, which is then exposed and developed to remove a portion of the photoresist material. The remaining photoresist material protects the underlying material from being affected by subsequent processes such as etching processes.

圖4亦繪示一或多個保護塗層(protective coatings)228(在圖4中係以單一階層為代表而作為例示目的)。此些保護塗層228可為一或多層,以保護基材(例如,矽基材)之背側不受環境影響和/或增加在圖案化製程中。舉例而言,在一些實施例中,保護塗層228亦可作為抗反射塗層(anti-reflection coating layer;ARC layer)。此抗反射塗層減少在圖案化一圖案光罩之微影製程中曝光光源(exposure light)的反射,此反射可造成在圖案化中的不準確。抗反射塗層可由氮化材料(例如,氮化物)、有機材料(例如,矽碳化物)、氧化材料、高介電常數材料(high-k dielectric)和類似者所形成。抗反射塗層可使用適當的技術來形成,例如化學氣相沉積和/或類似技術。 FIG. 4 also illustrates one or more protective coatings 228 (in FIG. 4, a single layer is represented as an example). Such protective coatings 228 may be one or more layers to protect the back side of the substrate (eg, silicon substrate) from the environment and / or be added to the patterning process. For example, in some embodiments, the protective coating 228 may also be used as an anti-reflection coating layer (ARC layer). The anti-reflection coating reduces the reflection of exposure light during the lithography process of patterning a patterned mask, and the reflection may cause inaccuracies in the patterning. The anti-reflection coating may be formed of a nitride material (for example, nitride), an organic material (for example, silicon carbide), an oxide material, a high-k dielectric, and the like. The anti-reflective coating may be formed using a suitable technique, such as chemical vapor deposition and / or the like.

其它階層可被使用在圖案化製程中。舉例而言,一或多個選擇性硬遮罩層可被使用以圖案化第一基材102。一般而言,一或多個硬遮罩層在一些實施例中為有利的,其中蝕刻製程需要遮罩和由光阻材料所提供的遮罩。在後續蝕刻以圖案化第一基材102之製程中,圖案化光阻遮罩將亦可被蝕刻,雖然光阻材料的蝕刻速率可不等高於第一基材102之蝕刻速率。若蝕刻製程為使得圖案化光阻遮罩將可在蝕刻製程完成之前被消耗,則附加的硬遮罩可被利用。硬 遮罩層或多層之材料被選擇,使得硬遮罩層(多層)展現比下方之材料(例如第一基材102之材料)較低的蝕刻速率。 Other layers can be used in the patterning process. For example, one or more selective hard mask layers may be used to pattern the first substrate 102. In general, one or more hard mask layers are advantageous in some embodiments, where the etching process requires a mask and a mask provided by a photoresist material. In the process of subsequent etching to pattern the first substrate 102, the patterned photoresist mask can also be etched, although the etching rate of the photoresist material may be different from the etching rate of the first substrate 102. If the etching process is such that the patterned photoresist mask can be consumed before the etching process is completed, an additional hard mask can be utilized. hard The material of the mask layer or layers is selected such that the hard mask layer (multilayer) exhibits a lower etch rate than the underlying material (such as the material of the first substrate 102).

圖5係繪示依據一些實施例之在進行一或多個蝕刻製程後之圖4中所示的半導體裝置之剖面圖。適當的蝕刻製程,例如乾燥蝕刻、非等向濕蝕刻(anisotropic wet etch)或任何其它適當的非等向性蝕刻或圖案化製程,可進行在半導體裝置上,以形成第二開口514。在一些實施例中,例如光阻之圖案化遮罩(圖未繪示)可被形成和圖案化以定義第二開口514。 5 is a cross-sectional view of the semiconductor device shown in FIG. 4 after one or more etching processes are performed according to some embodiments. A suitable etching process, such as dry etching, anisotropic wet etch, or any other suitable anisotropic etching or patterning process, may be performed on the semiconductor device to form the second opening 514. In some embodiments, a patterned mask (not shown), such as a photoresist, may be formed and patterned to define the second opening 514.

如圖5所示,第二開口514從第一開口226延伸至第一互連線112和第二互連線212之各別多者。在一些實施例中,第一互連線112係由例如銅之適當金屬材料所形成,此金屬材料具有與附加層間介電層110不同的蝕刻速度(選擇性)。如此一來,第一互連線112可作為硬遮罩層,以用在附加層間介電層110之蝕刻製程。選擇性蝕刻製程可被使用以快速蝕刻掉附加層間介電層110,且當此蝕刻製程繼續朝向第二互連線212時,從而形成凹陷516。凹陷516之深度可取決於各種應用及設計需求而變化。 As shown in FIG. 5, the second opening 514 extends from the first opening 226 to each of the first interconnection line 112 and the second interconnection line 212. In some embodiments, the first interconnect line 112 is formed of a suitable metal material, such as copper, which has a different etch rate (selectivity) than the additional interlayer dielectric layer 110. In this way, the first interconnection line 112 can be used as a hard mask layer for the etching process of the additional interlayer dielectric layer 110. A selective etching process can be used to quickly etch away the additional interlayer dielectric layer 110, and when this etching process continues toward the second interconnect line 212, a recess 516 is formed. The depth of the recess 516 may vary depending on various applications and design requirements.

第二蝕刻製程直到第二互連線212之各別多者被暴露前繼續進行,從而形成合併之開口,此合併之開口從第一晶圓100之背側延伸至第二晶圓200之第二互連線212,如圖5所示。 The second etching process is continued until the respective multiples of the second interconnect line 212 are exposed, thereby forming a merged opening that extends from the back side of the first wafer 100 to the second wafer 200. Two interconnection lines 212 are shown in FIG. 5.

應注意的是,第二蝕刻製程可經由用以形成附加層間介電層110和210之各種不同的疊層延伸,此些各種 不同的疊層可包含各種材料和蝕刻停止層。據此,第二蝕刻製程可利用多層蝕刻劑(etchant)來蝕刻以穿過各種疊層,其中此些蝕刻劑係基於被蝕刻之材料來選擇。 It should be noted that the second etching process may be extended through various different stacks used to form the additional interlayer dielectric layers 110 and 210. Different stacks can include various materials and etch stop layers. Accordingly, the second etching process can use multiple etchants to etch through the various stacks, wherein these etchants are selected based on the material being etched.

圖6係繪示依據一些實施例之導電材料形成在第一開口226和第二開口514中(見圖5),從而形成多層介層窗互連結構620。在一些實施例中,導電材料可藉由沉積擴散和/或阻障層以及沉積晶種層來形成。在一些實施例中,包含鉭、氮化鉭、鈦、氮化鈦、鎢化鈷(CoW)或類似材料之擴散阻障層(diffusion barrier layer)係沿著第一開口226和第二開口514之側壁而形成。晶種層可由銅、鎳、金、上述之任意組合和/或類似材料所形成。擴散阻障層和晶種層可藉由適當的沉積技術來形成,例如物理氣相沉積(PVD)、化學氣相沉積和/或類似沉積技術。一旦晶種層已被沉積在開口中,導電材料(例如銅、鎢、鋁、銀、上述之組合和/或類似材料)係藉由例如電化學鍍製程(electro-chemical plating process)而填充至第一開口226和第二開口514中,從而形成導電多層介層窗互連結構620。 FIG. 6 illustrates that a conductive material is formed in the first openings 226 and the second openings 514 (see FIG. 5) according to some embodiments, so as to form a multilayer interlayer window interconnection structure 620. In some embodiments, the conductive material may be formed by depositing a diffusion and / or barrier layer and depositing a seed layer. In some embodiments, a diffusion barrier layer comprising tantalum, tantalum nitride, titanium, titanium nitride, cobalt tungsten (CoW), or similar materials is along the first opening 226 and the second opening 514 Wall. The seed layer may be formed of copper, nickel, gold, any combination thereof, and / or the like. The diffusion barrier layer and the seed layer may be formed by a suitable deposition technique, such as physical vapor deposition (PVD), chemical vapor deposition, and / or the like. Once the seed layer has been deposited in the opening, a conductive material (e.g., copper, tungsten, aluminum, silver, a combination of the foregoing, and / or the like) is filled to, for example, an electro-chemical plating process. The first opening 226 and the second opening 514 form a conductive multilayer via window interconnect structure 620.

圖6亦繪示剩餘材料(例如,剩餘導電材料)從第一基材102之背側之移除。在一些實施例中,剩餘材料可藉由蝕刻製程、平坦化製程(planarization process)(例如,化學氣相沉積製程)或類似製程而移除。 FIG. 6 also illustrates the removal of the remaining material (eg, the remaining conductive material) from the back side of the first substrate 102. In some embodiments, the remaining material may be removed by an etching process, a planarization process (eg, a chemical vapor deposition process), or a similar process.

之後,一或多個附加製程步驟可被進行。舉例而言,覆蓋層(capping layers)、重佈線(redistribution lines)、導電連接墊結構和類似結構可被形成。圖7係繪示一例子,其中重佈線和/或接合墊(landing pads)(以元件770代表)被形成以提供電性連接至多層介層窗互連結構620。在一些實施例中,多層介層窗互連結構620可同時由導電材料填充以形成重佈層(redistribution layer;RDL)。在一些實施例中,重佈層係於形成多層介層窗互連結構620之前或之後形成。 Thereafter, one or more additional process steps may be performed. For example, capping layers, redistribution lines), conductive connection pad structures, and the like may be formed. FIG. 7 illustrates an example in which redistribution and / or landing pads (represented by the component 770) are formed to provide electrical connection to the multi-level window interconnect structure 620. In some embodiments, the multilayer interlayer window interconnect structure 620 may be simultaneously filled with a conductive material to form a redistribution layer (RDL). In some embodiments, the redistribution layer is formed before or after the multilayer via window interconnect structure 620 is formed.

圖7繪示具有多個多層介層窗互連結構620之實施例。因使用多層介層窗互連結構620而形成單一互連,故可得到極小的間距P1。此係部分因為可使用較小的介層窗尺寸和較薄的基材之故。在一些實施例中,間距P1係小於或等於大約10微米。在一些實施例中,間距P1係小於或等於大約5微米。在一些實施例中,間距P1係小於或等於大約1微米。 FIG. 7 illustrates an embodiment with a plurality of multi-level interlayer window interconnect structures 620. Since a single interconnection is formed by using the multi-layer interlayer window interconnection structure 620, a very small pitch P1 can be obtained. This is partly because smaller interposer window sizes and thinner substrates can be used. In some embodiments, the pitch P1 is less than or equal to about 10 microns. In some embodiments, the pitch P1 is less than or equal to about 5 microns. In some embodiments, the pitch P1 is less than or equal to about 1 micron.

例如以上所討論之實施例係提供多層介層窗互連結構,此多層介層窗互連結構使微小的間距和彈性結構提供多個高裝置密度之互連方法。第一介層窗延伸而穿過第一晶圓100之第一基材102(例如矽基材),且可提供電性連接至重佈層或接觸墊(contact pad)。第二介層窗延伸而穿過第一晶圓100之介電層(例如,氧化層、氮化層或類似疊層),例如層間介電層、金屬間介電層、蝕刻停止層、應力層或類似疊層,以暴露第一互連線112之選擇多者之多個部分。第三介層窗從第一晶圓100上的第一互連線112延伸至第二晶圓200中的第二互連線212。在例如此些之實施例 中,第一、第二和第三介層窗提供多層介層窗互連結構至較下方之晶粒上的電路。 For example, the embodiments discussed above provide a multi-layer interlayer window interconnect structure. The multi-layer interlayer window interconnect structure enables a small pitch and elastic structure to provide multiple high-device-density interconnect methods. The first interlayer window extends through the first substrate 102 (such as a silicon substrate) of the first wafer 100 and can provide electrical connection to a redistribution layer or a contact pad. The second dielectric window extends through a dielectric layer (eg, an oxide layer, a nitride layer, or a similar stack) of the first wafer 100, such as an interlayer dielectric layer, an intermetal dielectric layer, an etch stop layer, stress Layers or similar stacks to expose portions of a plurality of selected first interconnect lines 112. The third via window extends from the first interconnection line 112 on the first wafer 100 to the second interconnection line 212 in the second wafer 200. In examples such as these In the first, second, and third vias, the multilayer vias provide interconnections to circuits on the lower die.

應注意的是,用以形成多層介層窗互連結構之第一互連線112和第二互連線212可或可不連接至第一晶圓100之第一電路104和/或第二晶圓200之第二電路204。舉例而言,在一些實施例中,多層介層窗互連結構620可將電子裝置互連於較上方或較下方之晶粒上。在一些實施例中,在第一晶圓100上的第一互連線112(例如第一互連線112a和112b)可不電性連接至第一晶圓100上的第一電路104,使得此些多層介層窗互連結構620之一個多層介層窗互連結構提供電性連接至第二晶圓200上的第二電路204。在此些實施例中,第一互連線112作為用以形成多層介層窗互連結構620之對準元件(alignment)和遮罩。 It should be noted that the first interconnection line 112 and the second interconnection line 212 used to form the multilayer interlayer window interconnection structure may or may not be connected to the first circuit 104 and / or the second crystal of the first wafer 100. The second circuit 204 of the circle 200. For example, in some embodiments, the multi-level interlayer window interconnect structure 620 may interconnect electronic devices on a die above or below. In some embodiments, the first interconnection line 112 (eg, the first interconnection lines 112 a and 112 b) on the first wafer 100 may be electrically connected to the first circuit 104 on the first wafer 100 such that this One of the multi-level interlayer window interconnection structures 620 provides a second circuit 204 electrically connected to the second wafer 200. In these embodiments, the first interconnection line 112 is used as an alignment element and a mask for forming the multi-level interlayer window interconnection structure 620.

如另一例子,多層介層窗互連結構620之多層介層窗互連結構可提供第一晶圓100之第一電路104與第二晶圓200之第二電路204之間的電性連接,且外部連接為虛擬(dummy)連接,其未連接至外部訊號。在這些實施例中,多層介層窗互連結構620可提供未電性連接之外部連接。在此些情形下,鈍化層或其它介電層可形成在暴露且未使用的外部連接上,以保護材料不受外部環境影響。 As another example, the multi-layer interlayer window interconnect structure of the multi-layer interlayer window interconnect structure 620 may provide electrical connection between the first circuit 104 of the first wafer 100 and the second circuit 204 of the second wafer 200. , And the external connection is a dummy connection, which is not connected to an external signal. In these embodiments, the multi-layer window interconnect structure 620 may provide external connections that are not electrically connected. In such cases, a passivation layer or other dielectric layer may be formed on the exposed and unused external connections to protect the material from the external environment.

如以上所討論,在此揭露的多層介層窗互連結構容許晶圓/晶粒以不同製程節點(10/16奈米鰭式場效電晶體、28奈米...等等)來疊層。接著,此可減少或消除歸因於輸入/輸出(I/O)連接墊之大間距的連接墊尺寸界限之 限制,此連接墊尺寸界限之限制係由現存技術(例如基板上晶圓貼覆晶粒(chip on wafer on substrate;CoWoS)封裝)所限制。此方法可減少接觸墊區域4倍或更多,且可減少晶粒尺寸10%~50%,若此晶粒受接觸墊所限制。在一些實施例中,在晶粒上之裝置密度可增加2至4倍或更多。 As discussed above, the multilayer interlayer window interconnect structure disclosed herein allows wafers / dies to be stacked at different process nodes (10/16 nm fin field effect transistors, 28 nm ... etc.) . This, in turn, reduces or eliminates the size of the pads due to the large pitch of the input / output (I / O) pads. Limitation. The limitation of the size limit of the connection pad is limited by the existing technology (such as chip on wafer on substrate (CoWoS) packaging). This method can reduce the contact pad area by 4 times or more, and can reduce the grain size by 10% -50%, if this grain is limited by the contact pad. In some embodiments, the device density on the die can be increased by 2 to 4 times or more.

上述說明係提供材料和製程之一般說明。多層介層窗互連結構620可包含其它結構及利用其它材料和/或製程。舉例而言,多層介層窗互連結構可包含阻障層、黏著層、多層導電層和/或其它結構。適當的製程、結構和材料係在美國專利申請號14/135,104和美國專利申請號14/135,153中被描述,此些申請案係結合在此作為參考。 The above descriptions provide general descriptions of materials and processes. The multilayer via window interconnect structure 620 may include other structures and utilize other materials and / or processes. For example, the multilayer interlayer window interconnect structure may include a barrier layer, an adhesion layer, a multilayer conductive layer, and / or other structures. Suitable processes, structures, and materials are described in U.S. Patent Application No. 14 / 135,104 and U.S. Patent Application No. 14 / 135,153, which applications are incorporated herein by reference.

圖8係繪示依據一些實施例之結合影像感測晶粒與特殊應用積體電路晶粒之3D積體電路的部分之例子。在此實施例中,較上方之晶粒801,例如第一晶圓100,包含具有彩色濾波器(color filters)820和微透鏡(microlenses)822之影像感測器,此些彩色濾波器820和微透鏡822係形成在較上方之晶粒801的背側上。較下方之晶粒802,例如第二晶圓200,為特殊應用積體電路晶粒,此特殊應用積體電路晶粒係提供影像感測器之邏輯電路。如圖8所繪示,多層介層窗互連結構620(在圖8中以虛線矩形為代表)被配置以鄰接像素區域824。應注意的是,在一些實施例中,像素區域824代表一或多個像素。舉例而言,多層介層窗互連結構620可被***在個別的像素、一組像素或 像素陣列之間。在一些實施例中,多層介層窗互連結構620係沿著像素陣列之周圍來排列。 FIG. 8 illustrates an example of a part of a 3D integrated circuit combining an image sensing die and a special application integrated circuit die according to some embodiments. In this embodiment, the upper die 801, such as the first wafer 100, includes an image sensor with color filters 820 and microlenses 822. These color filters 820 and The microlens 822 is formed on the back side of the upper die 801. The lower die 802, such as the second wafer 200, is a special application integrated circuit die. This special application integrated circuit die is a logic circuit that provides an image sensor. As shown in FIG. 8, the multilayer interlayer window interconnect structure 620 (represented by a dashed rectangle in FIG. 8) is configured to adjoin the pixel region 824. It should be noted that in some embodiments, the pixel area 824 represents one or more pixels. For example, the multilayer interlayer window interconnect structure 620 may be inserted in an individual pixel, a group of pixels, or Between pixel arrays. In some embodiments, the multilayer interlayer window interconnect structure 620 is arranged along the periphery of the pixel array.

圖9係繪示依據一些實施例之第一互連線112和第二互連線212之平面圖。在圖9中,較上方的兩列係對應第一互連線112之圖案,且較下方的兩列係對應第二互連線212的圖案。當被整合至如上所描述的系統中,第一互連線112之圖案將覆蓋第二互連線212之圖案。如圖9所繪示,此些圖案可為相似尺寸,以提供微小的間距尺寸。在一些實施例中,第一互連線112和第二互連線212可具有小於或等於10微米之尺寸、小於或等於大約5微米之尺寸、或者小於或等於大約1微米之尺寸。應注意的是,圖9未繪示在第一互連線112中的開口。圖9更繪示導電跡線902延伸至第一互連線112和第二互連線212。 FIG. 9 is a plan view illustrating the first interconnection line 112 and the second interconnection line 212 according to some embodiments. In FIG. 9, the upper two columns correspond to the pattern of the first interconnection line 112, and the lower two columns correspond to the pattern of the second interconnection line 212. When integrated into the system as described above, the pattern of the first interconnect line 112 will cover the pattern of the second interconnect line 212. As shown in FIG. 9, the patterns can be similar in size to provide a small pitch size. In some embodiments, the first interconnect line 112 and the second interconnect line 212 may have a size of less than or equal to 10 microns, a size of less than or equal to about 5 microns, or a size of less than or equal to about 1 micron. It should be noted that the opening in the first interconnection line 112 is not shown in FIG. 9. FIG. 9 further illustrates that the conductive trace 902 extends to the first interconnection line 112 and the second interconnection line 212.

圖10係繪示依據一些實施例之多層介層窗互連結構620的其它圖案。如圖10所示,多層介層窗互連結構620係以陣列圖案來排列。其它圖案和排列可被使用。圖10更繪示導電跡線1002連接至多層介層窗互連結構。 FIG. 10 illustrates other patterns of the multi-level interlayer window interconnect structure 620 according to some embodiments. As shown in FIG. 10, the multi-layer interlayer window interconnect structure 620 is arranged in an array pattern. Other patterns and arrangements can be used. FIG. 10 further illustrates that the conductive trace 1002 is connected to the multilayer via window interconnect structure.

圖11為一流程圖,其係繪示依據一些實施例之在疊層晶片組態中形成多層介層窗互連結構之方法。此方法開始於步驟1110,提供將被接合之基材。此些基材可為被處理的晶圓(例如圖1所繪示之晶圓)、晶粒、晶圓與晶粒、或是類似基材。在步驟1112中,將此些基材接合在一起,例如上述有關圖2之說明。在步驟1114中,薄化此些基材之其中一者,例如上述有關圖3之說明。在步驟1116中,經由 薄化後,進行第一蝕刻製程以形成穿過薄化基材之第一開口,例如上述有關圖4之說明。基材之薄化可使在多層介層窗互連結構之間的間距更小。 FIG. 11 is a flowchart illustrating a method for forming a multi-layer interlayer window interconnect structure in a stacked wafer configuration according to some embodiments. The method starts at step 1110 and provides a substrate to be bonded. Such substrates can be processed wafers (such as the wafer shown in FIG. 1), die, wafer and die, or similar substrates. In step 1112, the substrates are bonded together, for example, as described above with reference to FIG. In step 1114, one of the substrates is thinned, such as described above with reference to FIG. In step 1116, via After thinning, a first etching process is performed to form a first opening through the thinned substrate, such as described above with reference to FIG. 4. The thinning of the substrate can make the distance between the multi-layer interlayer window interconnect structures smaller.

在步驟1118中,進行第二蝕刻製程以形成第二開口,此第二開口係從第一開口中延伸至形成於第一基材和/或第二基材上之互連結構或線結構之選擇多者。圖案化遮罩,例如上述有關圖5之說明,可用以定義在第一開口中的第二開口。在步驟1120中,以導電材料來填充此些開口,例如上述有關圖6之說明,從而形成多層介層窗互連結構。進一步的步驟可被進行。舉例而言,在步驟1122中,接合墊和/或重佈線可被形成以提供連接至多層介層窗互連結構的外部電性連接,例如上述有關圖7之說明。 In step 1118, a second etching process is performed to form a second opening. The second opening extends from the first opening to an interconnect structure or a line structure formed on the first substrate and / or the second substrate. Choose more. A patterned mask, such as the description of FIG. 5 described above, can be used to define a second opening in the first opening. In step 1120, these openings are filled with a conductive material, such as the description of FIG. 6 described above, so as to form a multilayer interlayer window interconnection structure. Further steps can be performed. For example, in step 1122, bonding pads and / or redistributions may be formed to provide external electrical connections to the multi-level window interconnect structure, such as described above with reference to FIG.

多層介層窗互連結構可由任何適當的方式和圖案來排列。舉例而言,在一些實施例中,多層介層窗互連結構可沿著晶粒之周圍來排列。在一些實施例中,多層介層窗互連結構可與電路或像素混合,例如邏輯晶粒之電路中或影像感測器之像素。 The multilayer via window interconnect structure may be arranged in any suitable manner and pattern. For example, in some embodiments, the multilayer via window interconnect structure may be arranged along the periphery of the die. In some embodiments, the multilayer interlayer window interconnect structure may be mixed with a circuit or a pixel, such as a pixel in a logic die circuit or an image sensor.

可理解的是,例如前述之實施例係提供多層介層窗互連結構,此多層介層窗互連結構可提供具有微小間距尺寸的接觸物,例如小於或等於10微米、小於或等於5微米、小於或等於1微米或類似者。此微小間距尺寸使設計者可實現低成本、低功率和高密度之結構設計。另外,各種設計可被利用在提供不同輸入/輸出電壓源和/或值(例如,0.8伏特、1.8伏特或類似者)至在疊層晶片組態中的各種元件。 例如此些之實施例特別有利於整合各種技術節點(例如,10奈米節點、16奈米節點和類似技術節點)和/或任何功能性晶粒(例如,影像感測器、類比裝置、記憶體、感測器、大型被動裝置和類似者),其提供設計高價值的單晶積體設備之替代方案。 It can be understood that, for example, the foregoing embodiment provides a multi-layer interlayer window interconnect structure. The multi-layer interlayer window interconnect structure can provide contacts with a minute pitch size, such as less than or equal to 10 microns, less than or equal to 5 microns , Less than or equal to 1 micron or similar. This tiny pitch size allows designers to implement low-cost, low-power and high-density structural designs. In addition, various designs may be utilized in providing different input / output voltage sources and / or values (eg, 0.8 volts, 1.8 volts, or the like) to various elements in a stacked wafer configuration. For example, these embodiments are particularly advantageous for integrating various technology nodes (e.g., 10nm nodes, 16nm nodes and similar technology nodes) and / or any functional die (e.g., image sensors, analog devices, memory Devices, sensors, large passive devices, and the like), which provide alternatives for designing high-value monocrystalline integrated devices.

此些好處提供顯著的優點。先前多晶粒之設計限制需要40微米或更大的間距。例如在此所描述之一些實施例提供一間距,此間距係小於或等於10微米、小於或等於5微米、小於或等於1微米或類似者。 These benefits provide significant advantages. Previous multi-die design limitations required a pitch of 40 microns or greater. For example, some embodiments described herein provide a pitch that is less than or equal to 10 microns, less than or equal to 5 microns, less than or equal to 1 micron, or the like.

一些實施例提供進階半導體產品(行動應用處理器(mobile AP)、可程式化邏輯閘陣列(FPGA)、...等等)、高裝置密度之精細輸入/輸出間距、不同製程節點(10奈米/16奈米鰭式場效電晶體、28奈米、...等等)之進階半導體產品多重功能區塊(semiconductor product multiple function block)和輸入/輸出電壓源和值(0.8伏特、1.8伏特、...等等)於一疊層晶片中,以及在更小的形式因子之一疊層中的進階半導體產品系統整合封裝體(system-in-package;SIP)解決方案,此解決方案具有邏輯、類比、記憶體、感測器、大型被動裝置...等等。此方法將使系統可具有彈性的區塊分割和較佳的成本結構。舉例而言,先前在昂貴的16奈米鰭式場效電晶體之三電壓設計可改變為具有較小的晶粒尺寸之雙電壓設計,且移動第三電壓至其它晶片,其可由較不昂貴的40奈米技術所支持。較低的成本不只可從較不昂貴的技術來實現,亦可從預先驗證 的(pre-proven)科技設計積體電路以及更短的設計週期時間來實現。 Some embodiments provide advanced semiconductor products (mobile APs, programmable logic gate arrays (FPGAs, ...), etc.), fine input / output pitch with high device density, different process nodes (10 Nano / 16 Nano Fin Field Effect Transistors, 28 Nano, ... etc) advanced semiconductor product multiple function block and input / output voltage source and value (0.8 volts, 1.8 volts, ... etc.) Advanced semiconductor product system-in-package (SIP) solutions in a stack of wafers, and in one of the smaller form factor stacks, this The solution has logic, analogies, memory, sensors, large passive devices ... and much more. This method will allow the system to have flexible block division and better cost structure. For example, the previous three-voltage design of the expensive 16nm fin field-effect transistor can be changed to a dual-voltage design with a smaller grain size, and the third voltage can be moved to other chips, which can be changed by less expensive Supported by 40nm technology. Lower costs can be achieved not only from less expensive technologies, but also from pre-validation (Pre-proven) technology design integrated circuit and shorter design cycle time to achieve.

在一實施例中,提供一種半導體裝置。此半導體裝置包含具有第一基材的第一半導體晶片,此第一基材具有多個第一介電層以及多個第一金屬線,其中此些第一金屬線係形成於第一基材上的此些第一介電層中。第一基材具有小於大約5微米之厚度。第二半導體晶片具有表面,此表面係接合至第一半導體晶片之第一表面,其中第二半導體晶片包含第二基材,此第二基材具有多個第二介電層以及多個第二金屬線,其中此些第二金屬線係形成於第二基材上的此些第二介電層中。裝置更包含多層介層窗互連結構,其中此些多層介層窗互連結構包含第一多層介層窗互連結構,此第一多層介層窗互連結構係從第一半導體晶片之第二表面延伸至第一半導體晶片中之此些第一金屬線之第一者以及至第二半導體晶片中之此些第二金屬線之第二者。 In one embodiment, a semiconductor device is provided. The semiconductor device includes a first semiconductor wafer having a first substrate. The first substrate has a plurality of first dielectric layers and a plurality of first metal lines. The first metal lines are formed on the first substrate. In these first dielectric layers. The first substrate has a thickness of less than about 5 microns. The second semiconductor wafer has a surface that is bonded to the first surface of the first semiconductor wafer, wherein the second semiconductor wafer includes a second substrate, the second substrate has a plurality of second dielectric layers and a plurality of second substrates. Metal wires, wherein the second metal wires are formed in the second dielectric layers on the second substrate. The device further includes a multilayer interlayer window interconnection structure, wherein the multilayer interlayer window interconnection structures include a first multilayer interlayer window interconnection structure, and the first multilayer interlayer window interconnection structure is from a first semiconductor wafer The second surface extends to the first of the first metal lines in the first semiconductor wafer and to the second of the second metal lines in the second semiconductor wafer.

在另一實施例中,提供一種半導體裝置。此半導體裝置包含具有第一基材的第一半導體晶片,此第一基材具有多個第一介電層以及多個第一金屬線,其中此些第一金屬線係形成於第一基材上的此些第一介電層中。第一半導體晶片係第一技術節點。第二半導體晶片具有表面,此表面係接合至第一半導體晶片之第一表面,其中第二半導體晶片包含第二基材,此第二基材具有多個第二介電層以及多個第二金屬線,其中此些第二金屬線係形成於第二基材上的此些第二介電層中。第二半導體晶片係第二技術節點,且第二技術 節點係不同於第一技術節點。裝置更包含多層介層窗互連結構,其中此些多層介層窗互連結構包含第一多層介層窗互連結構,此第一多層介層窗互連結構係從第一半導體晶片之第二表面延伸至第一半導體晶片中之此些第一金屬線之第一者以及至第二半導體晶片中之此些第二金屬線之第二者。 In another embodiment, a semiconductor device is provided. The semiconductor device includes a first semiconductor wafer having a first substrate. The first substrate has a plurality of first dielectric layers and a plurality of first metal lines. The first metal lines are formed on the first substrate. In these first dielectric layers. The first semiconductor wafer is a first technology node. The second semiconductor wafer has a surface that is bonded to the first surface of the first semiconductor wafer, wherein the second semiconductor wafer includes a second substrate, the second substrate has a plurality of second dielectric layers and a plurality of second substrates. Metal wires, wherein the second metal wires are formed in the second dielectric layers on the second substrate. The second semiconductor wafer is a second technology node, and the second technology The node system is different from the first technology node. The device further includes a multilayer interlayer window interconnection structure, wherein the multilayer interlayer window interconnection structures include a first multilayer interlayer window interconnection structure, and the first multilayer interlayer window interconnection structure is from a first semiconductor wafer The second surface extends to the first of the first metal lines in the first semiconductor wafer and to the second of the second metal lines in the second semiconductor wafer.

在又一實施例中,提供一種半導體裝置之製造方法。此製造方法包含提供接合結構,此接合結構具有接合至第二半導體晶片之第一半導體晶片,此第一半導體晶片具有第一基材,且此第二半導體晶片具有第二基材。第一基材具有一或多個上方之第一介電層以及在此一或多個第一介電層中之第一連接墊,且第二基材具有一或多個上方之第二介電層以及在此一或多個第二介電層中之第二連接墊。第一基材係接合至第二基材,使得此些第一介電層面向此些第二介電層,其中第一半導體晶片係第一技術節點晶片,且第二半導體晶片係第二技術節點晶片,此第一技術節點晶片係不同於此第二技術節點晶片之技術節點。形成第一開口,此第一開口係延伸穿過第一基材,且形成第二開口,此第二開口從第一開口延伸至在此些第一介電層之至少一者中之第一連接墊。形成第三開口,此第三開口從第一連接墊延伸至在此些第二介電層之至少一者中之第二連接墊。形成第一多層介層窗互連結構在第一開口、第二開口和第三開口中。 In another embodiment, a method for manufacturing a semiconductor device is provided. The manufacturing method includes providing a bonding structure having a first semiconductor wafer bonded to a second semiconductor wafer, the first semiconductor wafer having a first substrate, and the second semiconductor wafer having a second substrate. The first substrate has one or more first dielectric layers above and the first connection pads in the one or more first dielectric layers, and the second substrate has one or more second dielectric layers above. An electrical layer and a second connection pad in the one or more second dielectric layers. The first substrate is bonded to the second substrate such that the first dielectric layers face the second dielectric layers, wherein the first semiconductor wafer is a first technology node wafer and the second semiconductor wafer is a second technology Node chip. The first technology node chip is a technology node different from the second technology node chip. Forming a first opening extending through the first substrate and forming a second opening extending from the first opening to a first of at least one of the first dielectric layers Connection pad. A third opening is formed, the third opening extending from the first connection pad to a second connection pad in at least one of the second dielectric layers. A first multilayer via window interconnection structure is formed in the first opening, the second opening, and the third opening.

前述說明摘要數個實施例的特徵,使得熟習此技藝者可以更了解本揭露的態樣。熟習此技藝者應知其可以輕易地利用本揭露作為一基礎,以進行設計或修改其他製程 及結構,用以達成相同目的,和/或達成與在此提出實施例的相同態樣。熟習此技藝者也應可理解,這些等效的結構並不脫離本揭露的精神與範圍,而且在不脫離本揭露的精神與範圍下,可以做各種變更,替代及潤飾。 The foregoing description summarizes the features of several embodiments, so that those skilled in the art can better understand the aspects of this disclosure. Those skilled in the art should know that they can easily use this disclosure as a basis for designing or modifying other processes. And structures to achieve the same purpose and / or to achieve the same aspect as the embodiment proposed here. Those skilled in the art should also understand that these equivalent structures do not depart from the spirit and scope of this disclosure, and can make various changes, substitutions, and retouching without departing from the spirit and scope of this disclosure.

Claims (10)

一種半導體裝置,包含:一第一半導體晶片,該第一半導體晶片包含一第一基材、複數個第一介電層以及複數個第一金屬線,該些第一金屬線係形成於該第一基材上的該些第一介電層中,其中該第一基材具有小於大約5微米(μm)之一厚度;一第二半導體晶片,該第二半導體晶片具有一表面,該表面係接合至該第一半導體晶片之一第一表面,其中該第二半導體晶片包含一第二基材、複數個第二介電層以及複數個第二金屬線,該些第二金屬線係形成於該第二基材上的該些第二介電層中;以及複數個多層介層窗(multi-via)互連結構,其中該些多層介層窗互連結構之一第一多層介層窗互連結構從該第一半導體晶片之一第二表面延伸至且接觸該第一半導體晶片中之該些第一金屬線之二相鄰第一金屬線並延伸至該第二半導體晶片中之該些第二金屬線之一或多者。A semiconductor device includes a first semiconductor wafer, the first semiconductor wafer including a first substrate, a plurality of first dielectric layers, and a plurality of first metal wires, and the first metal wires are formed on the first Among the first dielectric layers on a substrate, the first substrate has a thickness less than about 5 micrometers (μm); a second semiconductor wafer, the second semiconductor wafer has a surface, and the surface is Bonded to a first surface of the first semiconductor wafer, wherein the second semiconductor wafer includes a second substrate, a plurality of second dielectric layers, and a plurality of second metal wires. The second metal wires are formed on The second dielectric layers on the second substrate; and a plurality of multi-via interconnect structures, wherein the first multi-layer interconnects are one of the multi-layer interconnect structures The window interconnection structure extends from a second surface of the first semiconductor wafer to and contacts two adjacent first metal lines of the first metal lines in the first semiconductor wafer and extends to one of the second semiconductor wafers. One or more of the second metal wires. 如申請專利範圍第1項所述之半導體裝置,其中該些多層介層窗互連結構之該第一多層介層窗互連結構係電性連接至一第一電壓源,且該些多層介層窗互連結構之一第二多層介層窗互連結構係電性連接至一第二電壓源,該第一電壓源係不同於該第二電壓源;其中該第一電壓源係提供一第一電壓值,且該第二電壓源係提供一第二電壓值,該第一電壓值係不同於該第二電壓值。The semiconductor device according to item 1 of the scope of patent application, wherein the first multilayer interlayer window interconnection structure of the multilayer interlayer window interconnection structure is electrically connected to a first voltage source, and the multiple layers A second multi-layered interlayer window interconnect structure is electrically connected to a second voltage source, and the first voltage source system is different from the second voltage source; wherein the first voltage source system is A first voltage value is provided, and the second voltage source provides a second voltage value, and the first voltage value is different from the second voltage value. 如申請專利範圍第1項所述之半導體裝置,其中該第一半導體晶片係一第一技術節點晶片(technology node chip),且該第二半導體晶片係一第二技術節點晶片,該第一技術節點晶片係不同於該第二技術節點晶片之一技術節點。The semiconductor device according to item 1 of the scope of patent application, wherein the first semiconductor wafer is a first technology node chip, and the second semiconductor wafer is a second technology node chip, the first technology The node wafer is a technology node different from the second technology node wafer. 如申請專利範圍第1項所述之半導體裝置,其中該第一半導體晶片係一第一功能類型(functional type),且該第二半導體晶片係一第二功能類型,該第一功能類型係不同於該第二功能類型。The semiconductor device according to item 1 of the scope of patent application, wherein the first semiconductor wafer is a first functional type, and the second semiconductor wafer is a second functional type, and the first functional type is different For this second function type. 如申請專利範圍第1項所述之半導體裝置,其中該些相鄰第一金屬線係不電性連接至在該第一半導體晶片上之一第一電路(electrical circuitry)。The semiconductor device according to item 1 of the application, wherein the adjacent first metal wires are electrically connected to a first circuit on the first semiconductor wafer. 如申請專利範圍第1項所述之半導體裝置,其中該第一多層介層窗互連結構係提供在該第一半導體晶片上之電路與在該第二半導體晶片上之一第二電路之間的電性連接。The semiconductor device according to item 1 of the patent application scope, wherein the first multilayer interlayer window interconnection structure is provided between a circuit on the first semiconductor wafer and a second circuit on the second semiconductor wafer. Electrical connection. 一種半導體裝置,包含:一第一半導體晶片,該第一半導體晶片包含一第一基材、複數個第一介電層以及複數個第一金屬線,該些第一金屬線係形成於該第一基材上的該些第一介電層中,該第一半導體晶片係一第一技術節點;一第二半導體晶片,該第二半導體晶片具有一表面,該表面係接合至該第一半導體晶片之一第一表面,其中該第二半導體晶片包含第二基材、複數個第二介電層以及複數個第二金屬線,該些第二金屬線係形成於該第二基材上的該些第二介電層中,該第二半導體晶片係一第二技術節點,且該第二技術節點係不同於該第一技術節點;以及複數個多層介層窗互連結構,其中每一該些多層介層窗互連結構從該第一半導體晶片之一第二表面延伸至且接觸該第一半導體晶片中之該些第一金屬線之二相鄰第一金屬線並延伸至該第二半導體晶片中之該些第二金屬線之一或多者。A semiconductor device includes a first semiconductor wafer, the first semiconductor wafer including a first substrate, a plurality of first dielectric layers, and a plurality of first metal wires, and the first metal wires are formed on the first Among the first dielectric layers on a substrate, the first semiconductor wafer is a first technology node; a second semiconductor wafer, the second semiconductor wafer has a surface, and the surface is bonded to the first semiconductor A first surface of a wafer, wherein the second semiconductor wafer includes a second substrate, a plurality of second dielectric layers, and a plurality of second metal wires. The second metal wires are formed on the second substrate. Among the second dielectric layers, the second semiconductor wafer is a second technology node, and the second technology node is different from the first technology node; and a plurality of multilayer interlayer window interconnection structures, each of which The multilayer interlayer window interconnect structures extend from a second surface of the first semiconductor wafer to and contact two adjacent first metal lines of the first metal lines in the first semiconductor wafer and extend to the first Two semiconductor wafers The second one of the plurality of metal lines or more. 如申請專利範圍第7項所述之半導體裝置,其中該些多層介層窗互連結構之一第一多層介層窗互連結構係電性連接至一第一電壓源,且該些多層介層窗互連結構之一第二多層介層窗互連結構係電性連接至一第二電壓源,該第一電壓源係不同於該第二電壓源,該第一電壓源係提供一第一電壓值,且該第二電壓源係提供一第二電壓值,該第一電壓值係不同於該第二電壓值。The semiconductor device according to item 7 of the scope of patent application, wherein one of the multilayer interlayer window interconnection structures is a first multilayer interlayer window interconnection structure which is electrically connected to a first voltage source, and the multilayers One of the interlayer window interconnection structures is a second multilayer interlayer window interconnection structure which is electrically connected to a second voltage source. The first voltage source is different from the second voltage source. The first voltage source provides A first voltage value, and the second voltage source provides a second voltage value, the first voltage value is different from the second voltage value. 一種半導體裝置之製造方法,包含:提供一接合結構(bonded structure),該接合結構具有接合至一第二半導體晶片之一第一半導體晶片,該第一半導體晶片具有一第一基材,且該第二半導體晶片具有一第二基材,該第一基材具有一或多個上方之第一介電層以及在該一或多個第一介電層中之複數個第一金屬線,且該第二基材具有一或多個上方之第二介電層以及在該一或多個第二介電層中之複數個第二金屬線,該第一基材係接合至該第二基材,使得該些第一介電層面向該些第二介電層,其中該第一半導體晶片係一第一技術節點晶片(technology node chip),且該第二半導體晶片係一第二技術節點晶片,該第一技術節點晶片係不同於該第二技術節點晶片之一技術節點;進行一第一蝕刻製程以形成一第一開口,該第一開口係延伸穿過該第一基材;進行一第二蝕刻製程以形成一第二開口,該第二開口從該第一開口延伸至該些第一金屬線之二相鄰第一金屬線;繼續進行該第二蝕刻製程以形成一第三開口,該第三開口從該些相鄰第一金屬線延伸至該些第二金屬線之一或多個第二金屬線;以及在該第一開口、該第二開口和該第三開口中形成一第一多層介層窗互連結構,該第一多層介層窗互連結構接觸該些相鄰第一金屬線和該一或多個第二金屬線。A method for manufacturing a semiconductor device includes: providing a bonded structure having a first semiconductor wafer bonded to a second semiconductor wafer, the first semiconductor wafer having a first substrate, and the The second semiconductor wafer has a second substrate, the first substrate has one or more first dielectric layers above and a plurality of first metal lines in the one or more first dielectric layers, and The second substrate has one or more second dielectric layers thereon and a plurality of second metal wires in the one or more second dielectric layers. The first substrate is bonded to the second substrate. Materials such that the first dielectric layers face the second dielectric layers, wherein the first semiconductor wafer is a first technology node chip, and the second semiconductor wafer is a second technology node Wafer, the first technology node wafer is a technology node different from the second technology node wafer; performing a first etching process to form a first opening, the first opening extending through the first substrate; A second etching process Forming a second opening, the second opening extending from the first opening to two adjacent first metal lines of the first metal lines; continuing the second etching process to form a third opening, the third opening Extending from the adjacent first metal lines to one or more second metal lines of the second metal lines; and forming a first multilayer in the first opening, the second opening, and the third opening A via interconnection structure, the first multilayer via interconnection structure contacts the adjacent first metal lines and the one or more second metal lines. 如申請專利範圍第9項所述之製造方法,更包含形成一第二多層介層窗互連結構,其中該第一多層介層窗互連結構係電性連接至一第一電壓源,且該第二多層介層窗互連結構係電性連接至一第二電壓源,該第一電壓源係不同於該第二電壓源,該第一電壓源係提供一第一電壓值,且該第二電壓源係提供一第二電壓值,該第一電壓值係不同於該第二電壓值。The manufacturing method according to item 9 of the scope of patent application, further comprising forming a second multilayer interlayer window interconnection structure, wherein the first multilayer interlayer window interconnection structure is electrically connected to a first voltage source. And the second multilayer interlayer window interconnection structure is electrically connected to a second voltage source, the first voltage source is different from the second voltage source, and the first voltage source provides a first voltage value And the second voltage source provides a second voltage value, the first voltage value is different from the second voltage value.
TW103146102A 2014-02-13 2014-12-29 Semiconductor apparatus and method of manufacturing semiconductor apparatus TWI632656B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461939577P 2014-02-13 2014-02-13
US61/939,577 2014-02-13
US14/257,759 US9425150B2 (en) 2014-02-13 2014-04-21 Multi-via interconnect structure and method of manufacture
US14/257,759 2014-04-21

Publications (2)

Publication Number Publication Date
TW201539696A TW201539696A (en) 2015-10-16
TWI632656B true TWI632656B (en) 2018-08-11

Family

ID=53775585

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146102A TWI632656B (en) 2014-02-13 2014-12-29 Semiconductor apparatus and method of manufacturing semiconductor apparatus

Country Status (2)

Country Link
US (1) US9425150B2 (en)
TW (1) TWI632656B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707463B (en) * 2019-08-28 2020-10-11 大陸商長江存儲科技有限責任公司 Semiconductor device and fabricating method thereof
TWI747200B (en) * 2019-09-24 2021-11-21 台灣積體電路製造股份有限公司 Semiconductor wafer, method for forming thereof, and integrated chip

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9837354B2 (en) * 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US10008447B2 (en) * 2015-05-21 2018-06-26 Nxp Usa, Inc. Solar cell powered integrated circuit device and method therefor
US9583525B2 (en) 2015-06-02 2017-02-28 Semiconductor Components Industries, Llc Die stacked image sensors and related methods
US11937422B2 (en) * 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10163859B2 (en) 2015-10-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method for chip package
US9754923B1 (en) * 2016-05-09 2017-09-05 Qualcomm Incorporated Power gate placement techniques in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US9659821B1 (en) * 2016-05-23 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structures by self-aligned approach
CN107611147B (en) 2016-07-11 2020-02-18 胜丽国际股份有限公司 Multi-chip plastic ball array packaging structure
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10593667B2 (en) 2016-10-07 2020-03-17 Xcelsis Corporation 3D chip with shielded clock lines
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
US10586786B2 (en) 2016-10-07 2020-03-10 Xcelsis Corporation 3D chip sharing clock interconnect layer
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
KR102512017B1 (en) 2016-10-07 2023-03-17 엑셀시스 코포레이션 Direct-bonded native interconnects and active base die
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10600780B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus circuit
US10672744B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D compute circuit with high density Z-axis interconnects
US10600735B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus
US10109616B2 (en) * 2016-12-22 2018-10-23 Intel Corporation High bandwidth, low profile multi-die package
KR102283330B1 (en) 2017-03-27 2021-08-02 삼성전자주식회사 Semiconductor device
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (en) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Housing with Si-substrate-free intermediate piece and training process
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
EP3698402A1 (en) * 2017-10-20 2020-08-26 XCelsis Corporation 3d compute circuit with high density z-axis interconnects
JP2019169565A (en) * 2018-03-22 2019-10-03 東芝メモリ株式会社 Semiconductor device
US20190312019A1 (en) * 2018-04-10 2019-10-10 Intel Corporation Techniques for die tiling
US11532580B2 (en) * 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
US11658069B2 (en) * 2020-03-26 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device having an interconnect structure over a substrate
US11502038B2 (en) * 2020-08-03 2022-11-15 Nanya Technology Corporation Semiconductor structure having via through bonded wafers and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090166840A1 (en) * 2007-12-27 2009-07-02 Samsung Electronics Co., Ltd. Wafer-level stack package
TW201125111A (en) * 2009-10-29 2011-07-16 Sony Corp Semiconductor device, manufacturing method thereof, and electronic apparatus
US20130264688A1 (en) * 2012-04-06 2013-10-10 Omnivision Technologies, Inc. Method and apparatus providing integrated circuit system with interconnected stacked device wafers
TW201351626A (en) * 2012-05-18 2013-12-16 Taiwan Semiconductor Mfg Semiconductor device and method for forming the same

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2219434A (en) 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
US6207494B1 (en) 1994-12-29 2001-03-27 Infineon Technologies Corporation Isolation collar nitride liner for DRAM process improvement
US6111319A (en) 1995-12-19 2000-08-29 Stmicroelectronics, Inc. Method of forming submicron contacts and vias in an integrated circuit
TW587306B (en) 2001-03-02 2004-05-11 Macronix Int Co Ltd Manufacturing method of low-resistance dual damascene via
US7453150B1 (en) 2004-04-01 2008-11-18 Rensselaer Polytechnic Institute Three-dimensional face-to-face integration assembly
US7560375B2 (en) 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods
CN100559574C (en) 2005-08-26 2009-11-11 皇家飞利浦电子股份有限公司 Electric screen through-wafer interconnect and its manufacture method and detecting element and checkout equipment
US8125052B2 (en) 2007-05-14 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure with improved cracking protection
JP4937842B2 (en) 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP5175526B2 (en) 2007-11-22 2013-04-03 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US7939449B2 (en) 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
US7928577B2 (en) 2008-07-16 2011-04-19 Micron Technology, Inc. Interconnect structures for integration of multi-layered integrated circuit devices and methods for forming the same
US8097955B2 (en) 2008-10-15 2012-01-17 Qimonda Ag Interconnect structures and methods
JP2010114165A (en) 2008-11-04 2010-05-20 Nikon Corp Semiconductor device, laminated semiconductor device, and method for manufacturing laminated semiconductor device
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
KR101022580B1 (en) 2009-02-19 2011-03-16 이상윤 Mass storage semiconductor memory device and method for fabricating the same
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
JP5985136B2 (en) 2009-03-19 2016-09-06 ソニー株式会社 SEMICONDUCTOR DEVICE, ITS MANUFACTURING METHOD, AND ELECTRONIC DEVICE
JP5395542B2 (en) 2009-07-13 2014-01-22 株式会社東芝 Semiconductor device
US8048790B2 (en) 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
TWI402941B (en) 2009-12-03 2013-07-21 Advanced Semiconductor Eng Semiconductor structure and method for making the same
US8399180B2 (en) 2010-01-14 2013-03-19 International Business Machines Corporation Three dimensional integration with through silicon vias having multiple diameters
US8415238B2 (en) 2010-01-14 2013-04-09 International Business Machines Corporation Three dimensional integration and methods of through silicon via creation
US8692382B2 (en) 2010-03-11 2014-04-08 Yu-Lin Yen Chip package
US8525345B2 (en) 2010-03-11 2013-09-03 Yu-Lin Yen Chip package and method for forming the same
US8283754B2 (en) 2010-08-13 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure with metal pad
US8338917B2 (en) 2010-08-13 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple seal ring structure
KR101677507B1 (en) 2010-09-07 2016-11-21 삼성전자주식회사 Method of manufacturing semiconductor devices
US8421193B2 (en) 2010-11-18 2013-04-16 Nanya Technology Corporation Integrated circuit device having through via and method for preparing the same
KR101697573B1 (en) 2010-11-29 2017-01-19 삼성전자 주식회사 Semiconductor device, fabricating method thereof, and semiconductor package comprising the semiconductor device
US8754507B2 (en) 2011-01-18 2014-06-17 Hong Kong Applied Science and Technology Research Institute Company Limited Forming through-silicon-vias for multi-wafer integrated circuits
US20120193785A1 (en) 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
JP6175701B2 (en) 2012-06-04 2017-08-09 マクロニックス インターナショナル カンパニー リミテッド Manufacturing method of 3D multi-chip module
KR101932660B1 (en) 2012-09-12 2018-12-26 삼성전자 주식회사 Integrated circuit device having through silicon via structure and method of manufacturing the same
US9159753B2 (en) 2013-03-01 2015-10-13 Semiconductor Components Industries, Llc Image sensor pixels with self-aligned lateral anti-blooming structures
US9076715B2 (en) 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US9041206B2 (en) 2013-03-12 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9536777B2 (en) 2013-03-13 2017-01-03 Taiwan Semiconductor Manufacutring Company, Ltd. Interconnect apparatus and method
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9059696B1 (en) * 2013-08-01 2015-06-16 Altera Corporation Interposer with programmable power gating granularity
US9054106B2 (en) 2013-11-13 2015-06-09 United Microelectronics Corp. Semiconductor structure and method for manufacturing the same
KR102177702B1 (en) 2014-02-03 2020-11-11 삼성전자주식회사 Via Structures and Semiconductor Devices Having a Via plug

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090166840A1 (en) * 2007-12-27 2009-07-02 Samsung Electronics Co., Ltd. Wafer-level stack package
TW201125111A (en) * 2009-10-29 2011-07-16 Sony Corp Semiconductor device, manufacturing method thereof, and electronic apparatus
US20130264688A1 (en) * 2012-04-06 2013-10-10 Omnivision Technologies, Inc. Method and apparatus providing integrated circuit system with interconnected stacked device wafers
TW201351626A (en) * 2012-05-18 2013-12-16 Taiwan Semiconductor Mfg Semiconductor device and method for forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707463B (en) * 2019-08-28 2020-10-11 大陸商長江存儲科技有限責任公司 Semiconductor device and fabricating method thereof
TWI747200B (en) * 2019-09-24 2021-11-21 台灣積體電路製造股份有限公司 Semiconductor wafer, method for forming thereof, and integrated chip

Also Published As

Publication number Publication date
US9425150B2 (en) 2016-08-23
US20150228584A1 (en) 2015-08-13
TW201539696A (en) 2015-10-16

Similar Documents

Publication Publication Date Title
TWI632656B (en) Semiconductor apparatus and method of manufacturing semiconductor apparatus
US9941249B2 (en) Multi-wafer stacking by Ox-Ox bonding
US11984431B2 (en) 3DIC structure and methods of forming
US10510729B2 (en) 3DIC interconnect apparatus and method
TWI681466B (en) Semiconductor structure and method of forming integrated circuit package
US9543257B2 (en) 3DIC interconnect devices and methods of forming same
US11798916B2 (en) 3DIC interconnect apparatus and method
US9748304B2 (en) Image sensor devices, methods of manufacture thereof, and semiconductor device manufacturing methods
KR101748919B1 (en) 3dic interconnect devices and methods of forming same
US20200161277A1 (en) Semiconductor device, semiconductor package and method of manufacturing the same
TWI602273B (en) Semiconductor device
TWI628765B (en) Singulation and bonding methods and structures formed thereby
US9190345B1 (en) Semiconductor devices and methods of manufacture thereof
TWI803899B (en) Semiconductor structure, package structure, and package method
KR20150043933A (en) Integrated circuit device having through-silicon via structure and method of manufacturing the same
TW201539589A (en) Method of forming semiconductor device
US20230141447A1 (en) Semiconductor package, and method of manufacturing the same
US20240030187A1 (en) Semiconductor package and method of manufacturing semiconductor package
US20220367364A1 (en) Semiconductor device and method for fabricating the same