TWI624765B - 用以改良微影程序之電腦實施方法及電腦程式產品 - Google Patents

用以改良微影程序之電腦實施方法及電腦程式產品 Download PDF

Info

Publication number
TWI624765B
TWI624765B TW104105138A TW104105138A TWI624765B TW I624765 B TWI624765 B TW I624765B TW 104105138 A TW104105138 A TW 104105138A TW 104105138 A TW104105138 A TW 104105138A TW I624765 B TWI624765 B TW I624765B
Authority
TW
Taiwan
Prior art keywords
source
mask
design layout
obtaining
design
Prior art date
Application number
TW104105138A
Other languages
English (en)
Other versions
TW201539226A (zh
Inventor
端孚 徐
劉曉峰
羅福C 何威爾
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201539226A publication Critical patent/TW201539226A/zh
Application granted granted Critical
Publication of TWI624765B publication Critical patent/TWI624765B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中揭示一種用以改良一微影程序之電腦實施方法,該微影程序用於使用一微影投影裝置而將一設計佈局之一部分成像至一基板上,該微影投影裝置包含一照明源及投影光學件,該方法包含:獲得一源形狀及一光罩散焦值;最佳化該微影程序之一劑量;針對該照明源之複數個隙縫位置中之每一者來最佳化該設計佈局之該部分。

Description

用以改良微影程序之電腦實施方法及電腦程式產品
本文中之描述係關於微影裝置及程序,且更特定言之,係關於一種用於最佳化供微影裝置或程序中使用之照明源及/或圖案化器件/設計佈局之方法或工具。
微影投影裝置可用於(例如)積體電路(IC)製造中。在此狀況下,圖案化器件(例如,光罩)可含有或提供對應於IC之個別層之電路圖案(「設計佈局」),且可藉由諸如經由圖案化器件上之電路圖案而輻照已被塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)的方法將此電路圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,電路圖案係由微影投影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影投影裝置中,將整個圖案化器件上之電路圖案一次性轉印至一個目標部分上;此裝置通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之電路圖案之不同部分逐漸地轉印至一個目標部分。一般而言,因為微影投影裝置將具有放大因數M(通常<1),所以基板被移動之速率F將為投影光束掃描圖案化器件之速率的因數M 倍。可(例如)自以引用方式併入本文中之US 6,046,792搜集到關於如本文所描述之微影器件的更多資訊。
在將電路圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如,曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列用作製造一器件(例如,IC)之個別層的基礎。基板可接著經歷各種程序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整該器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切塊或鋸切之技術而使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘,等等。
如所提及,微影蝕刻術(microlithography)為在IC製造中之中心步驟,其中形成於基板上之圖案界定IC之功能元件,諸如,微處理器、記憶體晶片等等。相似微影技術亦用來形成平板顯示器、微機電系統(MEMS)及其他器件。
一種用以改良一微影程序之電腦實施方法,該微影程序用於使用一微影投影裝置而將一設計佈局之一部分成像至一基板上,該微影投影裝置包含一照明源及投影光學件,該方法包含:獲得一源形狀及一光罩散焦值;最佳化該微影程序之一劑量;針對該照明源之複數個隙縫位置中之每一者來最佳化該設計佈局之該部分。
在一實施例中,該方法進一步包含最佳化該設計佈局,其中該設計佈局之該部分之所有部位處之透射不限於數個離散值。
在一實施例中,該方法進一步包含將一或多個輔助特徵置放至該設計佈局之該部分中。
在該方法之一實施例中,該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵,或其一組合。
在一實施例中,該方法進一步包含獲得該源之一模型、該設計佈局之該部分之一模型、該等投影光學件之一模型,或其一組合,其中該等模型經組態以模擬由該源、該設計佈局之該部分及該等投影光學件產生的一空中影像。
在該方法之一實施例中,該等模型經組態以用於藉由使用阿貝(Abbe)或霍普金斯(Hopkins)公式來演算一空中影像。
在該方法之一實施例中,最佳化該微影程序之該劑量之該步驟包含使用該等模型中之至少一者。
在一實施例中,該方法包含針對該等隙縫位置中之每一者來判定一程序窗。
在該方法之一實施例中,獲得該等模型之該步驟包含在該照明源為一自由形式源之一假定下使用一個三維光罩模型來最佳化該照明源及該設計佈局之該部分。
在該方法之一實施例中,獲得該等模型之該步驟進一步包含判定由使用該三維光罩模型而最佳化之該照明源及該設計佈局之該部分形成的一空中影像之最佳焦點之一平面。
在該方法之一實施例中,最佳化該照明源及該設計佈局之該部分之該步驟包含使用表示至少一個隨機變異之一成本函數。
在該方法之一實施例中,獲得該等模型之該步驟進一步包含將該自由形式源映射至一離散源。
在該方法之一實施例中,獲得該等模型之該步驟進一步包含最佳化該設計佈局之該部分及該離散源。
在該方法之一實施例中,獲得該等模型之該步驟進一步包含最佳化該等投影光學件。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟包含獲得光罩散焦之一初始值。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟進一步包含使用一薄光罩模型來最佳化該照明源及該設計佈局之該部分,其中該照明源為一自由形式源。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟進一步包含藉由使用一個三維光罩模型來最佳化該光罩散焦。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟包含使用複數個光瞳剖面來判定複數個光罩散焦值。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟包含平均化該若干光罩散焦值。
在該方法之一實施例中,獲得該源形狀及該光罩散焦值之該步驟包含判定由該照明源、該設計佈局之該部分及該等投影光學件形成的一空中影像之最佳焦點之一平面。
一種電腦程式產品,其包含經記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施該等以上實施例中之任一者之該方法。
10‧‧‧微影投影裝置
12‧‧‧照明源
14‧‧‧光學件/組件
16a‧‧‧光學件/組件
16b‧‧‧光學件/組件
16c‧‧‧透射光學件/組件
18‧‧‧圖案化器件
20‧‧‧可調整濾光器或孔徑
21‧‧‧輻射光束
22‧‧‧基板平面(圖1)/琢面化場鏡面器件(圖13)
24‧‧‧琢面化光瞳鏡面器件
26‧‧‧經圖案化光束
28‧‧‧反射元件
30‧‧‧反射元件
31‧‧‧源模型
32‧‧‧投影光學件模型
33‧‧‧設計佈局模型
36‧‧‧空中影像
37‧‧‧抗蝕劑模型
38‧‧‧抗蝕劑影像
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
105‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體(ROM)
110‧‧‧儲存器件
112‧‧‧顯示器
114‧‧‧輸入器件
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務業者(ISP)
128‧‧‧網際網路
130‧‧‧伺服器
210‧‧‧極紫外線(EUV)輻射發射電漿/極熱電漿/高度離子化電漿
211‧‧‧源腔室
212‧‧‧收集器腔室
220‧‧‧圍封結構
221‧‧‧開口
230‧‧‧選用氣體障壁或污染物截留器/污染截留器/污染物障壁
240‧‧‧光柵光譜濾光器
251‧‧‧上游輻射收集器側
252‧‧‧下游輻射收集器側
253‧‧‧掠入射反射器
254‧‧‧掠入射反射器
255‧‧‧掠入射反射器
300A‧‧‧照明源之特性
300B‧‧‧投影光學件之特性
300C‧‧‧設計佈局之特性
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
502‧‧‧步驟
504‧‧‧步驟
506‧‧‧步驟
508‧‧‧步驟
510‧‧‧步驟
512‧‧‧步驟
514‧‧‧步驟
516‧‧‧步驟
518‧‧‧步驟
610‧‧‧步驟
620‧‧‧步驟
630‧‧‧步驟
640‧‧‧步驟
650‧‧‧步驟
660‧‧‧步驟
670‧‧‧步驟
710‧‧‧步驟
720‧‧‧步驟
730‧‧‧步驟
735‧‧‧步驟
737‧‧‧步驟
740‧‧‧步驟
750‧‧‧步驟
760‧‧‧步驟
770‧‧‧步驟
810‧‧‧步驟
820‧‧‧步驟
830‧‧‧步驟
840‧‧‧步驟
910‧‧‧步驟
920‧‧‧步驟
930‧‧‧步驟
940‧‧‧步驟
980‧‧‧平均部位與預期部位之間的差
981‧‧‧實際邊緣之平均部位
982‧‧‧空中影像或抗蝕劑影像中之特徵之邊緣之預期位置
983‧‧‧相鄰特徵
990‧‧‧帶
995‧‧‧實際邊緣
1000‧‧‧微影投影裝置
AD‧‧‧調整器
B‧‧‧輻射光束/投影光束
C‧‧‧目標部分
CO‧‧‧聚光器/近正入射收集器光學件
IF‧‧‧干涉量測器件(圖11)/虛擬源點/中間焦點(圖13、圖14)
IL‧‧‧輻射系統/照明系統/照明器/照明光學件單元
IN‧‧‧積光器
LA‧‧‧雷射
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MT‧‧‧第一物件台/圖案化器件台/支撐結構
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一***
PS‧‧‧項目/投影系統/透鏡
PS1‧‧‧位置感測器
PS2‧‧‧位置感測器
PW‧‧‧第二***
SO‧‧‧輻射源/源收集器模組
W‧‧‧基板
WT‧‧‧第二物件台/基板台
對於一般熟習此項技術者而言,在結合附圖而檢閱特定實施例之以下描述後,以上態樣以及其他態樣及特徵就將變得顯而易見,在該等圖中:
圖1為根據一實施例之微影系統之各種子系統的方塊圖;圖2為對應於圖1中之子系統之模擬模型的方塊圖;圖3展示最佳化微影投影裝置之一般方法的流程圖;圖4展示最佳化微影投影裝置之方法的流程圖,其中交替地執行所有設計變數之最佳化; 圖5展示一種例示性最佳化方法,其中最小化成本函數;圖6展示根據一實施例之最佳化流程;圖7A展示根據一實施例之步驟640之細節;圖7B示意性地說明由隨機效應造成之偏差及由非隨機效應造成之偏差;圖8展示根據一實施例之步驟610之細節;圖9展示根據一實施例之步驟610之細節;圖10為可供實施實施例之實例電腦系統的方塊圖;圖11為實施例所適用之微影投影裝置的示意圖;及圖12為另一微影投影裝置之示意圖。
圖13為圖12中之裝置的更詳細視圖。
圖14為圖12及圖13之裝置之源收集器模組SO的更詳細視圖。
現在將參看圖式來詳細地描述實施例,該等圖式被提供為說明性實例以便使熟習此項技術者能夠實踐該等實施例。值得注意地,以下之諸圖及實例不意欲將範疇限於單一實施例,而是借助於所描述或所說明元件中之一些或全部之互換而使其他實施例係可能的。在任何方便之處,將貫穿圖式而使用相同元件符號以指相同或類似部件。在可部分地或完全地使用已知組件來實施此等實施例之某些元件的情況下,將僅描述對於理解該等實施例所必要的此等已知組件之彼等部分,且將省略此等已知組件之其他部分之詳細描述以便不混淆該等實施例之描述。在本說明書中,展示單數組件之實施例不應被認為限制性的;實情為,範疇意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然,除非本文中另有明確陳述。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特殊的涵義,除非有如此明確闡述。另外,範疇涵蓋本文中借助於說明而提及之組件的目 前及未來已知等效者。
隨著半導體製造程序繼續進步,幾十年來,功能元件之尺寸已不斷地縮減,而每器件的諸如電晶體之功能元件之量已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在當前先進技術下,使用微影投影裝置來製造器件層,微影投影裝置使用來自深紫外線照明源之照明而將設計佈局投影至基板上,從而產生尺寸充分地低於100奈米之個別功能元件,亦即,尺寸小於來自該照明源(例如,193奈米照明源)之輻射之波長的一半。
供印刷尺寸小於微影投影裝置之經典解析度極限之特徵的此程序根據解析度公式CD=k1×λ/NA而通常被稱為低k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248奈米或193奈米),NA為微影投影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影裝置及/或設計佈局。此等步驟包括(例如,但不限於)NA及光學相干性設定之最佳化、自訂照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。如本文所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括用於集體地或單個地導向、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學件」可包括微影投影裝置中之任何光學組件,而不管該光學組件在微影投影裝置之光學路徑上位於何處。投影光學件可包括用於在來自源之輻射通過圖案化器件之前塑形、調整及 /或投影該輻射的光學組件,及/或用於在該輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化器件。
作為一實例,OPC處理如下事實:投影於基板上之設計佈局之影像的最終大小及置放將不相同於或簡單地僅取決於該設計佈局在圖案化器件上之大小及置放。應注意,術語「光罩」、「比例光罩」、「圖案化器件」在本文中可被互換地利用。又,熟習此項技術者將認識到,尤其是在微影模擬/最佳化之內容背景中,術語「光罩」、「圖案化器件」及「設計佈局」可被互換地使用,此係因為:在微影模擬/最佳化中,未必使用實體圖案化器件,而可使用設計佈局以表示實體圖案化器件。對於存在於某一設計佈局上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦合至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。相似地,近接效應可起因於在通常跟隨微影之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了確保設計佈局之經投影影像係根據給定目標電路設計之要求,需要使用設計佈局之複雜數值模型、校正或預失真來預測及補償近接效應。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C.Spence,Proc.SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」之光學近接校正程序的綜述。在典型高端設計中,設計佈局之幾乎每一特徵皆具有某種修改,以便達成經投影影像至目標設計之高保真度。此等修改可包括邊緣位置或線寬之移位或偏置,以及意欲輔助其他特徵之投影之「輔助」特徵的應用。
在一晶片設計中通常存在數百萬個特徵的情況下,將以模型為 基礎之OPC應用於目標設計涉及良好的程序模型及相當大的計算資源。然而,應用OPC通常不為「嚴正科學(exact science)」,而為並不總是補償所有可能近接效應之經驗反覆程序。因此,需要藉由設計檢測(亦即,使用經校準數值程序模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的設計佈局),以便最小化將設計瑕疵建置至圖案化器件圖案中的可能性。此情形係藉由如下各者驅使:製造高端圖案化器件之巨大成本,其在數百萬美元的範圍內;以及對產品製作時程之影響,其係因重工或修復實際圖案化器件(一旦其已被製造)而引起。
OPC及全晶片RET驗證兩者可基於如(例如)美國專利申請案第10/815,573號及Y.Cao等人之名為「Optimized Hardware and Software For Fast,Full Chip Simulation」(Proc.SPIE,第5754卷,405(2005年))之論文中描述的數值模型化系統及方法。
一個RET係關於設計佈局之全域偏置之調整。全域偏置為設計佈局中之圖案與意欲印刷於基板上之圖案之間的差。舉例而言,具有25奈米直徑之圓形圖案可藉由設計佈局中之50奈米直徑圖案或藉由設計佈局中之20奈米直徑圖案但以高劑量而印刷於基板上。
除了對設計佈局或圖案化器件之最佳化(例如,OPC)以外,亦可與圖案化器件最佳化聯合地抑或分離地最佳化照明源,以致力於改良總微影保真度。術語「照明源」及「源」在此文件中可被互換地使用。自1990年代以來,已引入諸如環形、四極及偶極之許多離軸照明源,且該等離軸照明源已提供針對OPC設計之更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析圖案化器件中含有之精細結構(亦即,目標特徵)的被證實方式。然而,相比於傳統照明源,離軸照明源通常提供針對空中影像(AI)之較少輻射強度。因此,變得需要嘗試最佳化照明源以在較精細之解析度與縮減之輻射強度之間達 成最佳平衡。
舉例而言,可在Rosenbluth等人之名為「Optimum Mask and Source Patterns to Print A Given Shape」(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁(2002年))之論文中找到眾多照明源最佳化途徑。將源分割成若干區,該等區中之每一者對應於光瞳光譜之某一區。接著,將源分佈假定為在每一源區中均一,且針對程序窗來最佳化每一區之亮度。然而,源分佈在每一源區中均一之此假定並不總是有效,且結果,此途徑之有效性受損。在Granik之名為「Source Optimization for Image Fidelity and Throughput」(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁(2004年))之論文中闡述的另一實例中,綜述若干現有源最佳化途徑,且提議將源最佳化問題轉換成一系列非負最小平方最佳化的基於照明器像素之方法。儘管此等方法已示範一些成就,但其通常需要多次複雜反覆以進行收斂。另外,可難以判定用於一些額外參數(諸如,Granik方法中之γ)之適當/最佳值,此情形規定在最佳化用於基板影像保真度之源與該源之平滑度要求之間的取捨。
對於低k1光微影,源及圖案化器件兩者之最佳化有用於確保用於臨界電路圖案之投影的可行程序窗。一些演算法(例如,Socha等人之Proc.SPIE,第5853卷,2005年,第180頁)在空間頻域中將照明離散化成獨立源點且將光罩離散化成繞射階,且基於可藉由光學成像模型自源點強度及圖案化器件繞射階而預測之程序窗度量(諸如,曝光寬容度)來分離地公式化成本函數(其被定義為選定設計變數之函數)。如本文所使用之術語「設計變數」包含微影投影裝置之參數集合,例如,微影投影裝置之使用者可調整的參數。應瞭解,微影投影程序之任何特性(包括源、圖案化器件、投影光學件之特性,及/或抗蝕劑特 性)可在最佳化中之設計變數當中。成本函數常常為設計變數之非線性函數。接著使用標準最佳化技術以最小化成本函數。
相關地,不斷地減低設計規則之壓力已驅使半導體晶片製造者在運用現有193奈米ArF微影的情況下更深入於低k1微影時代。朝向較低k1之微影施予對RET、曝光工具及針對微影親和設計之需要的大量需求。未來可使用1.35 ArF超數值孔徑(NA)曝光工具。為了幫助確保電路設計可以可工作程序窗而產生至基板上,源-圖案化器件最佳化(在本文中被稱作源-光罩最佳化(source-mask optimization)或SMO)正變為用於2×奈米節點之顯著RET。
2009年11月20日申請且被公開為WO2010/059954之名為「Fast Freeform Source and Mask Co-Optimization Method」的共同讓渡之國際專利申請案第PCT/US2009/065359號中描述允許在無約束之情況下且在可實行之時間量內使用成本函數來同時地最佳化源及圖案化器件(設計佈局)的源及圖案化器件最佳化方法及系統,該專利申請案之全文係據此以引用方式併入。
2010年6月10日申請且被公開為美國專利申請公開案第2010/0315614號之名為「Source-Mask Optimization in Lithographic Apparatus」的共同讓渡之美國專利申請案第12/813456號中描述涉及藉由調整源之像素來最佳化源的另一源及圖案化器件最佳化方法及系統,該專利申請案之全文係據此以引用方式併入。
儘管可在本文中特定地參考在IC製造中之實施例之使用,但應明確理解,該等實施例具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,本文中對術語「比例光罩」、「晶圓」或「晶粒」之任何使用應被認為分別可與更一般之術語「光罩」、「基板」及「目標部分」 互換。
在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有365奈米、248奈米、193奈米、157奈米或126奈米之波長),及極紫外線輻射(EUV,例如,具有在5奈米至20奈米之範圍內之波長)。
如本文所使用之術語「最佳化」意謂:調整微影投影裝置,使得微影之結果及/或程序具有更理想之特性,諸如,設計佈局在基板上之投影之較高準確度、較大程序窗等等。
另外,微影投影裝置可屬於具有兩個或兩個以上基板台(及/或兩個或兩個以上圖案化器件台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用方式併入本文中之US 5,969,441中描述雙載物台微影投影裝置。
上文所提及之圖案化器件包含設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循一預定設計規則集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如,閘、電容器等等)或互連線之間的空間容許度,以便確保電路器件或線彼此不會以不理想方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩個線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。積體電路製作中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化器件)。
如本文所使用之術語「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦 可用於此內容背景中。除了經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此等圖案化器件之實例亦包括:
-可程式化鏡面陣列。此器件之一實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射作為繞射輻射,而未經定址區域使入射輻射反射作為非繞射輻射。在使用適當濾光器的情況下,可自反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用合適電子件來執行矩陣定址。可(例如)自以引用方式併入本文中之美國專利第5,296,891號及第5,523,193號搜集到關於此等鏡面陣列之更多資訊。
-可程式化LCD陣列。以引用方式併入本文中之美國專利第5,229,872號中給出此構造之一實例。
作為簡要介紹,圖1說明例示性微影投影裝置10。主要組件為:照明源12,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源;照明光學件,其定義部分相干性(被表示為均方偏差)且可包括塑形來自源12之輻射之光學件14、16a及16b;圖案化器件(例如,光罩或比例光罩)18;及透射光學件16c,其將圖案化器件圖案之影像投影至基板平面22上。投影光學件之光瞳平面處之可調整濾光器或孔徑20可限定照射於基板平面22上之光束角度之範圍,其中最大可能角度定義投影光學件之數值孔徑NA=sin(Θmax)。
在一系統之最佳化程序中,可將該系統之優值(figure of merit)表示為成本函數。最佳化程序歸結為找到最小化成本函數的系統之參數(設計變數)集合的程序。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS);成本函數亦可為此等偏差之最大值。本文中之術語「評估點」應被廣泛地 解譯為包括系統之任何特性。歸因於系統之實施之實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影投影裝置之狀況下,約束常常係與硬體之實體屬性及特性(諸如,可調諧範圍,及/或圖案化器件可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上之實體點,以及諸如劑量及焦點之非實體特性。
在微影投影裝置中,源提供照明(亦即,輻射);投影光學件經由圖案化器件而導向及塑形照明且將照明導向及塑形至基板上。此處,術語「投影光學件」被廣泛地定義為包括可變更輻射光束之波前的任何光學組件。舉例而言,投影光學件可包括組件14、16a、16b及16c中之至少一些。空中影像(AI)為基板上之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之空間溶解度分佈。可使用抗蝕劑模型以自空中影像演算抗蝕劑影像,可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利申請案第12/315,849號中找到此情形之實例。抗蝕劑模型係僅關於抗蝕劑層之屬性(例如,在曝光、PEB及顯影期間發生之化學程序之效應)。微影投影裝置之光學屬性(例如,源、圖案化器件及投影光學件之屬性)規定空中影像。因為可改變用於微影投影裝置中之圖案化器件,所以需要使圖案化器件之光學屬性與至少包括源及投影光學件的微影投影裝置之其餘部分之光學屬性分離。
圖2中說明用於模擬微影投影裝置中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件造成的對輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素造成的像差,該等因素係例如,投影光學件之組件之加熱,由投影光學件之組件之機械連接造成的應力。源模型31及投影光學件模 型32可組合成透射交叉係數(TCC)模型。設計佈局模型33表示設計佈局之光學特性(包括由給定設計佈局造成的對輻射強度分佈及/或相位分佈之改變),該設計佈局為圖案化器件之特徵之配置的表示。可自源模型31、投影光學件模型32及設計佈局模型33模擬空中影像36。可使用抗蝕劑模型37而自空中影像36模擬抗蝕劑影像38。微影之模擬可(例如)預測抗蝕劑影像中之輪廓及CD。
更具體言之,應注意,源模型31可表示源之光學特性,該等光學特性包括但不限於NA均方偏差(σ)設定,以及任何特定照明源形狀(例如,離軸輻射源,諸如,環形、四極及偶極等等)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性包括像差、失真、折射率、實體大小、實體尺寸、吸收率等等。設計佈局模型33亦可表示實體圖案化器件之實體屬性,如(例如)全文以引用方式併入之美國專利第7,587,704號中所描述。模擬之目標係準確地預測(例如)邊緣置放及CD,可接著將該等邊緣置放及CD與預期設計進行比較。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
自此設計佈局,可識別被稱作「剪輯(clip)」之一或多個部分。在一特定實施例中,提取一剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、格胞或圖案),且特別地,該等剪輯表示需要特定關注及/或驗證之小部分。換言之,剪輯可為設計佈局之部分,或可相似或具有臨界特徵係藉由經驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的設計佈局之部分的相似行為。剪輯通常含有一或多個測試圖案或量規圖案(gauge pattern)。
可由客戶基於設計佈局中需要特定影像最佳化之已知臨界特徵 區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如,機器視覺)或手動演算法而自整個設計佈局提取初始較大剪輯集合。
可(例如)在2010年10月28日申請之美國專利申請案第12/914,946號中找到最佳化方法之實例,該專利申請案之揭示內容之全文係據此以引用方式併入。
在一或多項實施例中,可使用成本函數來執行最佳化,諸如:
其中(z 1 ,z 2 ,,z N )為N個設計變數或其值;f p (z 1 ,z 2 ,,z N )可為針對(z 1 ,z 2 ,,z N )之設計變數之值集合在第p評估點處之特性之實際值與預期值之間的差之函數。w p 為指派給第p評估點之權重常數。可指派較高w p 值給比其他評估點或圖案更臨界之評估點或圖案。亦可指派較高w p 值給具有較大出現次數之圖案及/或評估點。評估點之實例可為晶圓上之任何實體點或圖案,或設計佈局上之任何點,或抗蝕劑影像,或空中影像。
成本函數可表示微影投影裝置或基板之任何合適特性,例如,焦點、CD、影像移位、影像失真、影像旋轉等等。舉例而言,成本函數可為以下微影度量中之一或多者之函數:邊緣置放誤差、臨界尺寸、抗蝕劑輪廓距離、最差缺陷大小、隨機效應、圖案化器件之三維效應、抗蝕劑之三維效應、最佳焦點移位、光瞳填充因數、曝光時間,及產出率。因為抗蝕劑影像常常規定基板上之電路圖案,所以成本函數常常包括表示抗蝕劑影像之一些特性之函數。舉例而言,此評估點之f p (z 1 ,z 2 ,,z N )可僅僅為抗蝕劑影像中之一點與彼點之預期位置之間的距離(亦即,邊緣置放誤差EPE p (z 1 ,z 2 ,,z N ))。設計變數可為任何可調整參數,諸如,源、圖案化器件、投影光學件、劑量、焦點等等之可調整參數。投影光學件可包括被集體地稱為「波前操控器」之 組件,其可用以調整輻照光束之波前及強度分佈及/或相移之形狀。投影光學件可調整沿著微影投影裝置之光學路徑之任何部位(諸如,在圖案化器件之前、在光瞳平面附近、在影像平面附近、在焦平面附近)處之波前及強度分佈。投影光學件可用以校正或補償由(例如)源、圖案化器件造成的波前及強度分佈之某些失真、微影投影裝置中之溫度變異,及/或微影投影裝置之組件之熱膨脹。調整波前及強度分佈可改變評估點及成本函數之值。可自模型模擬此等改變或實際上量測此等改變。
應注意,f p (z 1 ,z 2 ,,z N )之正常加權均方根(RMS)被定義為 ,因此,最小化f p (z 1 ,z 2 ,,z N )之加權RMS等效於最小化方程式1中所定義之成本函數。因此,出於本文中之記法簡單起見,可互換地利用f p (z 1 ,z 2 ,,z N )及方程式1之加權RMS。
另外,若最大化程序窗(PW),則有可能將來自不同PW條件之相同實體部位認為(方程式1)中之成本函數之不同評估點。舉例而言,若考慮N個PW條件,則可根據評估點之PW條件來分類該等評估點,且可將成本函數書寫為:
其中(z 1 ,z 2 ,,z N )為針對在第u個PW條件u=1,,U下之(z 1 ,z 2 ,,z N )之設計變數之值集合的第p i 評估點之實際值與預期值之間的差。當此差為邊緣置放誤差(EPE)時,則最小化以上成本函數等效於最小化在各種PW條件下之邊緣移位,因此,此情形導致最大化PW。詳言之,若PW亦由不同圖案化器件偏置組成,則最小化以上成本函數亦包括最小化光罩誤差增強因數(MEEF),該光罩誤差增強因數(MEEF)被定義為晶圓EPE與誘發性光罩邊緣偏置之間的比率。
設計變數可具有約束,該等約束可被表達為(z 1 ,z 2 ,,z N ) Z,其中Z為設計變數之可能值集合。該等約束可表示微影投影裝置之硬體實施之實體限定。該等約束可包括如下各者中之一或多者:調諧範圍、控管圖案化器件可製造性之規則,及設計變數之間的相互相依性。
因此,最佳化程序應在約束(z 1 ,z 2 ,,z N ) Z下找到最小化成本函數之設計變數之值集合,亦即,找到:
圖3中說明根據一實施例的最佳化微影投影裝置之一般方法。此方法包含定義複數個設計變數之多變數成本函數之步驟302。設計變數可包含選自照明源之特性(300A)(例如,光瞳填充比率,即,傳遞通過光瞳或孔徑之源之輻射的百分比)、投影光學件之特性(300B)及設計佈局之特性(300C)的任何合適組合。舉例而言,設計變數可包括照明源之特性(300A)及設計佈局之特性(300C)(例如,全域偏置),但不包括投影光學件之特性(300B),此情形導致SMO。替代地,設計變數可包括照明源之特性(300A)、投影光學件之特性(300B)及設計佈局之特性(300C),此情形導致源-光罩-透鏡最佳化(SMLO)。在步驟304中,同時地調整設計變數,使得成本函數移動朝向收斂。在步驟306中,判定是否滿足預定義終止條件。預定終止條件可包括各種可能性,亦即,成本函數可被最小化或最大化(如由所使用之數值技術所需要)、成本函數之值已等於臨限值或已超越臨限值、成本函數之值已達到預設誤差極限內,或達到預設數目次反覆。若滿足步驟306中之條件中之任一者,則方法結束。若未滿足步驟306中之條件中之任一者,則反覆地重複步驟304及306直至獲得所要結果為止。最佳化未必導致用於設計變數之單一值集合,此係因為可存在由諸如光瞳填充 因數、抗蝕劑化學反應、產出率等等之因素造成的實體限定。最佳化可提供用於設計變數及關聯效能特性(例如,產出率)之多個值集合,且允許微影裝置之使用者拾取一或多個集合。
在另一實施例中,代替演算及/或判定對投影光學件之光學特性之效應或除了演算及/或判定對投影光學件之光學特性之效應以外,預想到,投影光學件之可調整光學特性亦可包括於設計變數中。例示性可調整光學特性可包括如透鏡操控器、用以控制投影系統之光學元件之溫度的一或多個器件(例如,加熱器)之溫度資料或與溫度資料相關聯之信號、任尼克(Zernike)係數。可接著進行SMO工序,且可同時地調整包括可調整光學特性之設計變數,使得成本函數移動朝向收斂。
在圖3中,同時地執行所有設計變數之最佳化。此流程可被稱為同時最佳化、聯合最佳化,或共同最佳化。如本文所使用之術語「同時的」、「同時地」、「聯合的」及「聯合地」意謂源、圖案化器件、投影光學件之特性之設計變數及/或任何其他設計變數被允許同時改變。替代地,交替地執行所有設計變數之最佳化,如圖4所說明。在此流程中,在每一步驟中,使一些設計變數固定,而最佳化其他設計變數以最小化成本函數;接著,在下一步驟中,使一不同變數集合固定,而最佳化其他變數集合以最小化成本函數。交替地執行此等步驟直至符合收斂或某些終止條件為止。如圖4之非限制性實例流程圖中所展示,首先,獲得設計佈局(步驟402),接著,在步驟404中執行源最佳化之步驟,其中最佳化(SO)照明源之所有設計變數以最小化成本函數,而使所有其他設計變數固定。接著,在下一步驟406中,執行光罩最佳化(MO),其中最佳化圖案化器件之所有設計變數以最小化成本函數,而使所有其他設計變數固定。交替地執行此兩個步驟,直至在步驟408中符合某些終止條件為止。可使用各種終止條件,諸 如,成本函數之值變得等於臨限值、成本函數之值超越臨限值、成本函數之值達到預設誤差極限內,或達到預設數目次反覆,等等。應注意,SO-MO交替最佳化用作該替代流程之實例。該替代流程可採取許多不同形式,諸如,SO-LO-MO交替最佳化,其中交替地且反覆地執行SO、LO(透鏡最佳化)及MO;或可執行第一SMO一次,接著交替地且反覆地執行LO及MO;等等。最後,在步驟410中獲得最佳化結果之輸出,且程序停止。
如之前所論述之圖案選擇演算法可與同時或交替最佳化整合。舉例而言,當採用交替最佳化時,首先可執行全晶片SO,識別「熱點」及/或「溫點」,接著執行MO。鑒於本發明,次最佳化之眾多排列及組合係可能的,以便達成所要最佳化結果。
圖5展示一種例示性最佳化方法,其中最小化成本函數。在步驟502中,獲得設計變數之初始值,包括設計變數之調諧範圍(若存在)。在步驟504中,設置多變數成本函數。在步驟506中,在圍繞用於第一反覆步驟(i=0)之設計變數之起點值之足夠小鄰域內展開成本函數。在步驟508中,應用標準多變數最佳化技術以最小化成本函數。應注意,最佳化可在508中之最佳化程序期間或在最佳化程序中之後期具有約束,諸如,調諧範圍。針對用於已為了最佳化微影程序而選擇之經識別評估點之給定測試圖案(亦被稱為「量規」)進行每一反覆。在步驟510中,預測微影回應(例如,空中影像、抗蝕劑影像之某些特性,或微影程序之某些特性,諸如,程序窗)。在步驟512中,比較步驟510之結果與所要或理想微影回應值。若在步驟514中滿足終止條件,亦即,最佳化產生足夠接近於所要值之微影回應值,則在步驟518中輸出設計變數之最終值。輸出步驟亦可包括使用設計變數之最終值來輸出其他函數,諸如,輸出光瞳平面(或其他平面)處之波前像差調整映像、經最佳化源映像,及經最佳化設計佈局等等。若未滿足 終止條件,則在步驟516中,運用第i反覆之結果來更新設計變數之值,且程序返回至步驟506。下文詳細地闡述圖5之程序。
在一例示性最佳化程序中,不假定或近似設計變數(z 1 ,z 2 ,,z N )與f p (z 1 ,z 2 ,,z N )之間的關係,惟f p (z 1 ,z 2 ,,z N )足夠平滑(例如,存在一 階導數 ,(n=1,2,N))除外,其通常在微影投影裝置中有效。可應用諸如高斯-牛頓(Gauss-Newton)演算法、雷文柏格-馬括特(Levenberg-Marquardt)演算法、梯度下降演算法、模擬退火、遺傳演算法之演算法以找到
此處,將高斯-牛頓演算法用作一實例。高斯-牛頓演算法為適用於一般非線性多變數最佳化問題之反覆方法。在設計變數(z 1 ,z 2 ,,z N )採取值(z 1i ,z 2i ,,z Ni )之第i反覆中,高斯-牛頓演算法線性化(z 1i ,z 2i ,,z Ni )附近之f p (z 1 ,z 2 ,,z N ),且接著演算在(z 1i ,z 2i ,,z Ni )附近之給出CF(z 1 ,z 2 ,,z N )之最小值之值(z 1(i+1) ,z 2(i+1) ,,z N(i+1))。設計變數(z 1 ,z 2 ,,z N )在第(i+1)反覆中採取值(z 1(i+1) ,z 2(i+1) ,,z N(i+1))。此反覆繼續直至收斂(亦即,CF(z 1 ,z 2 ,,z N )不再縮減)或達到預設數目次反覆為止。
具體言之,在第i反覆中,在(z 1i ,z 2i ,,z Ni )附近,
在方程式3之近似下,成本函數變為:
其為設計變數(z 1 ,z 2 ,,z N )之二次函數。每一項皆恆定,惟設計變數(z 1 ,z 2 ,,z N )除外。
若設計變數(z 1 ,z 2 ,,z N )不在任何約束下,則可藉由N個線性方程 式進行求解而導出(z 1(i+1) ,z 2(i+1) ,,z N(i+1)): ,其中n=1,2,,N
若設計變數(z 1 ,z 2 ,,z N )係在呈J個不等式(例如,(z 1 ,z 2 ,,z N )之調諧範圍)之約束下(其中j=1,2,,J.);且在K個等式(例如,設計變數之間的相互相依性)之約束下(其中k=1,2,,K.);則最佳化程序變為經典二次規劃問題,其中A nj B j C nk D k 為常數。可針對每一反覆來強加額外約束。舉例而言,可引入「阻尼因數」Δ D 以限制(z 1(i+1) ,z 2(i+1) ,,z N(i+1))與(z 1i ,z 2i ,,z Ni )之間的差,使得方程式3之近似成立。此等約束可被表達為z ni D z n z ni D 。可使用(例如)Jorge Nocedal及Stephen J.Wright(Berlin New York:Vandenberghe.Cambridge University Press)之Numerical Optimization(第2版)中描述的方法來導出(z 1(i+1) ,z 2(i+1) ,,z N(i+1))。
代替最小化f p (z 1 ,z 2 ,,z N )之RMS,最佳化程序可將評估點當中之最大偏差(最差缺陷)之量值最小化至其預期值。在此途徑中,可替代地將成本函數表達為: 其中CL p 為用於f p (z 1 ,z 2 ,,z N )之最大允許值。此成本函數表示評估點當中之最差缺陷。使用此成本函數之最佳化會最小化最差缺陷之量值。反覆貪心演算法可用於此最佳化。
方程式5之成本函數可被近似為: 其中q為正偶數,諸如,至少4,較佳地為至少10。方程式6模仿方程式5之行為,同時允許藉由使用諸如最深下降方法、共軛梯度方法等等之方法來分析上執行最佳化且使最佳化加速。
最小化最差缺陷大小亦可與f p (z 1 ,z 2 ,,z N )之線性化組合。具體言 之,與在方程式3中一樣,近似f p (z 1 ,z 2 ,,z N )。接著,將對最差缺陷大小之約束書寫為不等式E Lp f p (z 1 ,z 2 ,,z N ) E Up ,其中E Lp E Up 為指定用於f p (z 1 ,z 2 ,,z N )之最小偏差及最大允許偏差之兩個常數。***方程式3,將此等約束變換至如下方程式(其中p=1,…P):
因為方程式3通常僅在(z 1 ,z 2 ,,z N )附近有效,所以倘若在此附近不能達成所要約束E Lp f p (z 1 ,z 2 ,,z N ) E Up (其可藉由該等不等式當中之任何衝突予以判定),則可放寬常數E Lp E Up 直至可達成該等約束為止。此最佳化程序最小化(z 1 ,z 2 ,,z N ),i附近之最差缺陷大小。接著,每一步驟逐步地縮減最差缺陷大小,且反覆地執行每一步驟直至符合某些終止條件為止。此情形將導致最差缺陷大小之最佳縮減。
用以最小化最差缺陷之另一方式係在每一反覆中調整權重w p 。舉例而言,在第i反覆之後,若第r評估點為最差缺陷,則可在第(i+1)反覆中增加w r ,使得向彼評估點之缺陷大小之縮減給出較高優先級。
另外,可藉由引入拉格朗日乘數來修改方程式4及方程式5中之成本函數,以達成對缺陷大小之RMS之最佳化與對最差缺陷大小之最佳化之間的折衷,亦即:
其中λ為指定對缺陷大小之RMS之最佳化與對最差缺陷大小之最佳化之間的取捨之預設常數。詳言之,若λ=0,則此方程式變為方程式4,且僅最小化缺陷大小之RMS;而若λ=1,則此方程式變為方程式5,且僅最小化最差缺陷大小;若0<λ<1,則在最佳化中考量以上兩種情況。可使用多種方法來解決此最佳化。舉例而言,相似於先前所描述之方法,可調整每一反覆中之加權。替代地,相似於自不等式最小化最差缺陷大小,方程式6'及6"之不等式可被視為在二次規劃問題之求解期間的設計變數之約束。接著,可遞增地放寬對最差缺陷大小之界限,或對最差缺陷大小之界限遞增地增加用於最差缺陷大小之權重、計算用於每一可達成最差缺陷大小之成本函數值,且選擇最小化總成本函數之設計變數值作為用於下一步驟之初始點。藉由反覆地進行此操作,可達成此新成本函數之最小化。
最佳化微影投影裝置可擴展程序窗。較大程序窗在程序設計及晶片設計方面提供更多靈活性。程序窗可被定義為使抗蝕劑影像在抗蝕劑影像之設計目標之某一極限內的焦點及劑量值集合。應注意,此處所論述之所有方法亦可延伸至可藉由除了曝光劑量及散焦以外之不同或額外基參數而建立的廣義程序窗定義。此等基參數可包括但不限於諸如NA、均方偏差、像差、偏振之光學設定,或抗蝕劑層之光學常數。舉例而言,如早先所描述,若PW亦由不同光罩偏置組成,則最佳化包括光罩誤差增強因數(MEEF)之最小化,該光罩誤差增強因數(MEEF)被定義為基板EPE與誘發性光罩邊緣偏置之間的比率。對焦點及劑量值所定義之程序窗在本發明中僅用作一實例。下文描述根據一實施例的最大化程序窗之方法。
在第一步驟中,自程序窗中之已知條件(f 0 0)開始(其中f 0為標稱焦點,且ε 0為標稱劑量),最小化在(f 0±Δf,ε 0±ε)附近下方之成本函數中之一者:
若允許標稱焦點f 0及標稱劑量ε 0移位,則其可與設計變數(z 1 ,z 2 ,,z N )聯合地被最佳化。在下一步驟中,若可找到(z 1 ,z 2 ,,z N ,f,ε)之值集合,則接受(f 0±Δf,ε 0±ε)作為程序窗之部分,使得成本函數係在預設極限內。
替代地,若不允許焦點及劑量移位,則在焦點及劑量固定於標稱焦點f 0及標稱劑量ε 0的情況下最佳化設計變數(z 1 ,z 2 ,,z N )。在一替代實施例中,若可找到(z 1 ,z 2 ,,z N )之值集合,則接受(f 0±Δf,ε 0±ε)作為程序窗之部分,使得成本函數係在預設極限內。
本發明中早先所描述之方法可用以最小化方程式27、27'或27"之各別成本函數。若設計變數為投影光學件之特性(諸如,任尼克係數),則最小化方程式27、27'或27"之成本函數會導致基於投影光學件最佳化(亦即,LO)之程序窗最大化。若設計變數為除了投影光學件之特性以外的源及圖案化器件之特性,則最小化方程式27、27'或27"之成本函數會導致基於SMLO之程序窗最大化,如圖9所說明。若設計變數為源及圖案化器件之特性,則最小化方程式27、27'或27"之成本函數會導致基於SMO之程序窗最大化。
上文所描述之最佳化可用以找到用以縮減可不利於微影程序之許多實體效應的(z 1 ,z 2 ,,z N )之值集合。可針對對微影裝置之不同組件之不同實體效應且在不同條件下連續地進行多個最佳化。一些效應、組件及條件在使用EUV之微影中可為唯一的。舉例而言,在EUV微影 投影裝置中,因為投影光學件包括一或多個反射光學組件,所以投影光學件可不為遠心的。非遠心光學系統可針對處於不同距離之物件展現變異之放大率,且導致圖案移位。舉例而言,EUV微影投影裝置可具有入射於圖案器件上之傾斜主射線,其可導致陰影及圖案移位。圖案移位可為圖案相依的及/或隙縫位置相依的。舉例而言,EUV微影投影裝置中之源可為離散源(例如,由具有離散反射狀態之鏡面陣列呈現)。EUV微影投影裝置與DUV微影投影裝置之間的差需要新最佳化流程。當然,本文所揭示之流程不限於供在EUV微影投影裝置中使用。新最佳化流程較佳地減輕歸因於陰影及非遠心性之H-V偏置、泊松(Bossung)傾斜及圖案移位,且縮減對閃焰之敏感度。
圖6展示根據一實施例之最佳化流程。該流程以獲得源形狀(其可由源下方之平面(諸如,光瞳平面)處之強度及/或相位分佈表示)及光罩散焦值(圖案化器件沿著投影光學件之光軸之全域移位)之步驟610而開始。可使用任何合適方法(諸如,圖8及圖9所說明之方法)來選擇或量測源形狀及光罩散焦值。
在選用步驟620中,將設計佈局最佳化為連續透射光罩(「CTM最佳化」)。在此最佳化中,設計佈局之所有部位處之透射不限於數個離散值。取而代之,透射可假定在上限及下限內之任何值。可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利第8584056號中找到更多細節。連續透射光罩極難以(若並非不可能)實施於圖案化器件上。然而,因為不將透射限於數個離散值會使最佳化快得多,所以連續透射光罩為有用工具。在EUV微影投影裝置中,圖案化器件可為反射的。CTM最佳化之原理亦適用於待產生於反射圖案化器件上之設計佈局,其中該設計佈局之所有部位處之反射率不限於數個離散值。因此,如本文所使用,術語「連續透射光罩」可指待產生於反射圖案化器件或透射圖案化器件上之設計佈局。CTM最佳化可基於考量 厚光罩效應之三維光罩模型。厚光罩效應起因於光之向量性質,且可在設計佈局上之特徵大小小於用於微影程序中之光之波長時顯著。厚光罩效應包括:歸因於用於電場及磁場之不同邊界條件之偏振相依性;小開口中之透射率、反射率及相位誤差;邊緣繞射(或散射)效應;或電磁耦合。可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利第7703069號中找到三維光罩模型之更多細節。
在選用步驟630中,可基於步驟620中的經最佳化為連續透射光罩之設計佈局而將輔助特徵(子解析度輔助特徵及/或可印刷解析度輔助特徵)置放至設計佈局中。此步驟允許自連續透射光罩識別及設計輔助特徵。
在選用步驟640中,獲得源、設計佈局及投影光學件之模型。將進一步詳細地解釋此步驟。較佳地,該模型可藉由使用霍普金斯公式來演算空中影像。霍普金斯公式使用源及投影光學件之透射函數。該透射函數可展開成任尼克多項式。模型用以模擬微影程序。舉例而言,模型可用以模擬由源、設計佈局及投影光學件產生之空中影像。模型可包括分別用於源、設計佈局及投影光學件之子模型。
在步驟650中,可藉由使用選用步驟640中獲得之模型來最佳化微影程序之劑量。
在步驟660中,可針對源之數個隙縫位置中之每一者來最佳化設計佈局。在EUV微影裝置中,貫通隙縫像差(through slit aberration)趨向於隨著隙縫位置而變異。隙縫像差可歸因於諸如基板散焦、劑量漂移、光罩尺寸改變、加熱等等之許多效應。此步驟允許補償隙縫像差。舉例而言,可在此步驟中使用量測隙縫位置下方之評估點之成本函數。
在選用步驟670中,針對該等隙縫位置中之每一者來判定程序窗。
圖7A展示根據一實施例之步驟640之細節。在步驟710中,在源為自由形式源之假定下,可使用三維光罩模型來最佳化源及設計佈局。自由形式源意謂光瞳平面處之強度不限於數個離散值。假定源為自由形式源會使此最佳化快得多。三維光罩模型考量厚光罩效應。厚光罩效應起因於光之向量性質,且可在設計佈局上之特徵大小小於用於微影程序中之光之波長時顯著。厚光罩效應包括:歸因於用於電場及磁場之不同邊界條件之偏振相依性;小開口中之透射率、反射率及相位誤差;邊緣繞射(或散射)效應;或電磁耦合。可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利第7703069號中找到三維光罩模型之更多細節。
在選用步驟720中,使用步驟710中最佳化之源及設計佈局來最佳化光罩散焦。此步驟允許調整光罩散焦以適應由步驟710中之最佳化造成的對源及設計佈局之改變。舉例而言,光罩散焦可為設計變數。可最小化成本函數以找到光罩散焦。
在選用步驟730中,判定由如步驟710中最佳化之源及圖案化器件形成的空中影像之最佳焦點之平面。舉例而言,最佳焦點可為設計變數。可最小化成本函數以找到最佳焦點。
在選用步驟735中,可針對步驟710中最佳化之源及設計佈局來最佳化劑量及焦點。
在選用步驟737中,在源為自由形式源之假定下,可使用三維光罩模型來最佳化源及設計佈局,其中考量至少一個隨機效應。
在微影投影裝置中,舉例而言,使用具有縮減之輻射強度(或縮減之光子計數)的極紫外線(EUV輻射,其(例如)具有在5奈米至20奈米之範圍內之波長)源或非EUV源可導致較強隨機變異,諸如,諸如孔之小二維特徵中之明顯線寬粗糙度及局域CD變異。在使用EUV源之微影投影裝置中,縮減之輻射強度(或縮減之光子計數)係歸因於自源 輸出之低總輻射(或EUV光子之高能量)、來自塑形來自源之輻射之光學件之輻射損耗、通過投影光學件之透射損耗、在恆定劑量下導致較少光子之高光子能量,等等。隨機變異可歸因於諸如抗蝕劑中之光子散粒雜訊、光子產生次級電子、光子吸收變異、光子產生酸的因素。EUV被需要之特徵之小的大小進一步複合此等隨機變異。較小特徵之隨機變異為產品良率中之顯著因素,且證明包括於微影投影裝置之多種最佳化程序中係合理的。
在相同輻射強度下,每一基板之較低曝光時間導致微影投影裝置之較高產出率,但導致較強隨機變異。在給定輻射強度下的給定特徵中之光子散粒雜訊係與曝光時間之平方根成比例。在使用EUV及其他輻射源之微影中,存在出於增加產出率之目的而降低曝光時間的期望。
產出率亦可受到經導向至基板之光之總量影響。在一些微影投影裝置中,犧牲來自源之光之一部分以便達成源之所要形狀。
諸如線邊緣粗糙度之隨機變異通常係由基礎特性之分佈之參數表示。隨機變異之一項實例為線邊緣粗糙度(LER)。LER可由特徵之邊緣之空間分佈的3σ表示(假定該分佈為常態分佈)。可在特徵之邊緣之許多曝光或模擬中自該邊緣之部位導出3σ。隨機變異之一項實例為線寬粗糙度(LWR)。LWR可為1D特徵(例如,長線)之寬度之分佈的3σ(假定該分佈為常態分佈)。可在1D特徵之寬度之許多曝光或模擬中自該寬度導出1D特徵之LWR。隨機變異之另一實例為局域CD均一性(LCDU)。LCDU可為在2D特徵之CD之許多曝光或模擬中的該CD之分佈(假定該分佈為常態分佈)的3σ。隨機變異之其他實例可包括接觸邊緣粗糙度(CER)、車輪(wagon-wheel)LCDU等等。
隨機變異可用作在空中影像或抗蝕劑影像之特性中由隨機效應造成之偏差之可能性的量度。圖7B示意性地說明由隨機效應造成之 偏差及由非隨機效應造成之偏差。在圖7B之實例中,空中影像或抗蝕劑影像中之特徵之邊緣之預期位置被指示為點線982。實際邊緣被指示為曲線995,曲線995包括隨機變異(此實例中之LER)及與隨機效應不相關之誤差(例如,由諸如劑量變異、焦點變異、源形狀、光罩誤差等等之其他因素造成)兩者。實際邊緣之平均部位被指示為實線981。平均部位(實線981)與預期部位(點線982)之間的差980為與隨機效應不相關之誤差,其可被稱作邊緣置放誤差(EPE)。實際邊緣相對於平均部位之變異為隨機變異。圍封隨機變異的圍繞平均部位(實線981)之帶990可被稱為隨機變異帶,其表示實際邊緣可歸因於隨機效應而達到之範圍。隨機變異帶之寬度可大於EPE。因此,與邊緣之預期部位(點線982)之總可能偏差可為EPE與隨機變異帶之總和。若不存在隨機變異,則此實例中之邊緣之實際部位將處於由實線981指示之部位,其不與相鄰特徵983合併且因此不產生缺陷。然而,當存在隨機變異且隨機變異帶足夠大(例如,帶990)時,實際邊緣可與相鄰特徵983合併(由點線圓圈標記之處)且因此產生缺陷。
可在步驟737中使用表示諸如LWR、LER、LCDU之至少一個隨機變異之成本函數來最佳化源及設計佈局。在一實例中,成本函數可具有方程式1之形式。至少一個f p (z 1 ,z 2 ,,z N )可為隨機變異之顯函數,諸如,f p (LER)=LER 2(z 1 ,z 2 ,,z N )。f p (z 1 ,z 2 ,,z N )可為一變數之顯函數,該變數為諸如LER之隨機變異之函數。舉例而言,模糊影像對數範疇(bl_ILS)可為LER之函數,且 f p (z 1 ,z 2 ,,z N )可為影響諸如LER之隨機變異之變數。使用表示隨機變異之成本函數之最佳化可導致縮減或最小化隨機變異的設計變數之值。成本函數可表示微影投影裝置或基板之任何合適特性,例如,焦點、CD、影像移位、影像失 真、影像旋轉、隨機變異、產出率、LCDU,或其組合。在一項實施例中,成本函數表示LCDU、產出率及隨機變異(亦即,為LCDU、產出率及隨機變異之函數)。在一項實施例中,成本函數表示EPE、產出率及隨機變異(例如,包括為EPE、產出率及隨機變異之函數的f p (z 1 ,z 2 ,,z N ))。在一項實施例中,成本函數包括為EPE之函數的f p (z 1 ,z 2 ,,z N )及為諸如LER之隨機變異之函數的f p (z 1 ,z 2 ,,z N )。表示隨機變異之成本函數之一項實例可具有形式CF(z 1 ,z 2 ,,z N )=。此係因為EPE及LER兩者具有長度之尺寸。因此,其可直接地相加。表示隨機變異之成本函數之另一實 例可具有形式。 根據一實施例,可僅在|EPE p |高於使用者選定偏移時才開啟SEPE項 ,使得SEPE項不支配EPE項。因此,可將成本函數 書寫為,其中當|EPE p | OFs p =0,且當|EPE p |>OFs p ≠0;OF為偏移。
在步驟740中,將自由形式源映射至離散源。並不可運用某一硬體來直接地呈現自由形式源。使用基於自由形式源之光瞳剖面的任何合適方法來演算類似於自由形式源之光瞳剖面且可由該源之硬體呈現(例如,可由鏡面陣列呈現)的初始離散光瞳剖面。
在步驟750中,可最佳化微影程序之劑量。此步驟允許補償歸因於自由形式源至離散源之映射之劑量改變。
傳統技術使用被稱為繞射光學元件(DOE)之玻璃圓盤以塑形來自源之光。對於複合光瞳剖面,此等DOE必須被自訂設計及製造。申請人之FlexRayTM源使用個別可調整鏡面之可程式化陣列。其可在數分鐘內產生任何光瞳剖面--從而消除與DOE設計及製作相關聯之長循環時間且因此使得到低k1設計之過程加速。儘管可調整鏡面之數目可大 至數百個,但其仍為空間上離散的。在一些微影投影系統中,該等鏡面不為連續地可調整的--即,每一鏡面可處於若干(例如,2個至6個)離散狀態。歸因於鏡面之離散性質,實際上使用鏡面陣列而呈現之光瞳剖面類似於但仍可實質上偏離於自由形式源之光瞳剖面。此偏差趨向於在EUV源中較大。若在最佳化源時考量鏡面之離散性質,則可縮減此偏差。然而,傳統離散最佳化(例如,分支界限演算法)之計算成本高(其中執行時間隨著鏡面之數目增加而按指數規律成比例地增加),即,O(a n ),其中a恆定且n為鏡面之數目。在步驟760中,根據可將計算成本縮減為與鏡面之數目成比例(即,O(n))之方法來最佳化設計佈局及離散源。此方法可包括:選擇對當前離散光瞳剖面之離散改變,且應用對當前離散光瞳剖面之選定改變,其中具有選定離散改變之當前離散光瞳剖面在下一反覆中變為當前離散剖面;在光瞳剖面固定的情況下最佳化與造成離散光瞳剖面之離散改變之源硬體(例如,此源硬體可包括鏡面)無關聯的設計變數(例如,與圖案化器件、劑量等等相關聯之設計變數),其中此等設計變數可包括離散及/或連續設計變數;若不滿足結束條件,則反覆此等步驟。可在揭示內容之全文據此以引用方式併入的共同讓渡之美國專利申請案第61/769015號中找到更多細節。
在選用步驟770中,可最佳化投影光學件。舉例而言,可藉由使用(例如)申請人之FlexWaveTM投影光學件來調整波前。此步驟允許調整投影光學件以適應步驟760中最佳化之離散源及設計佈局。舉例而言,可在此最佳化中使用為特性化投影光學件之設計變數之函數的成本函數。
圖8展示根據一實施例之步驟610之細節。在步驟810中,獲得光罩散焦及初始源形狀(例如,環形狀)之初始值。光罩散焦可弱相依於源。因此,無需特別選擇光罩散焦及初始源形狀之初始值。在步驟 820中,在源為自由形式源之假定下,可使用薄光罩模型來最佳化源及設計佈局。薄光罩模型不考量厚光罩效應,且因此快於三維光罩模型。在步驟830中,可在考量厚光罩效應的情況下最佳化微影程序之劑量。此步驟允許補償自薄光罩模型至厚光罩模型之劑量改變。在選用步驟840中,針對步驟820中之經最佳化源來最佳化光罩散焦,但光罩散焦可僅弱相依於該源。圖8中之方法可有效於縮減厚光罩效應及圖案移位。
圖9展示根據一替代實施例之步驟610之細節。相比於圖8中之方法,圖9中之方法計算上較快。光罩散焦可弱相依於源。因此,用於獲得光罩散焦之方法可基於平均化使用若干光瞳剖面(例如,準習知、大環形、小環形、x偶極、y偶極、類星體,及C型四邊形)而判定之若干光罩散焦值。在步驟910中,獲得光罩散焦之初始值。在步驟920中,使用若干光瞳剖面及光罩散焦之初始值來判定若干光罩散焦值。在步驟930中,藉由平均化若干光罩散焦值來獲得步驟610之光罩散焦值。在選用步驟940中,判定由照明源、設計佈局之部分及投影光學件形成的空中影像之最佳焦點之平面。
圖10為說明可輔助實施本文所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之一處理器104(或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如,隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且儲存器件110耦接至匯流排102 以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如,滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,其允許該器件指定在一平面中之位置。亦可將觸控面板(螢幕)顯示器用作輸入器件。
根據一項實施例,可由電腦系統100回應於處理器104執行主記憶體106中含有之一或多個指令之一或多個序列而執行最佳化程序之部分。可將此等指令自另一電腦可讀媒體(諸如,儲存器件110)讀取至主記憶體106中。主記憶體106中含有之指令序列之執行使處理器104執行本文所描述之程序步驟。呈多處理配置之一或多個處理器亦可用以執行主記憶體106中含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,實施例不限於硬體電路系統及軟體之任何特定組合。
本文所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如,儲存器件110。揮發性媒體包括動態記憶體,諸如,主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,其包括包含匯流排102之電線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟碟、可撓性碟、硬碟、磁帶、任何其他 磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,最初可將指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體106擷取及執行指令。由主記憶體106接收之指令可視情況在供處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面118發送及接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而向其他資料器件提供資料通信。舉例而言,網路鏈路120可經由區域網路122而向主機電腦124或向由網際網路服務業者(ISP)126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」)128 而提供資料通信服務。區域網路122及網際網路128皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及自電腦系統100攜載數位資料)為輸送資訊的例示性形式之載波。
電腦系統100可經由該(該等)網路、網路鏈路120及通信介面118而發送訊息且接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。根據一或多項實施例,一個此類經下載應用程式提供(例如)實施例之照明最佳化。所接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波之形式的應用程式碼。
圖11示意性地描繪可利用本文所描述之方法而最佳化照明源的例示性微影投影裝置。該裝置包含:-輻射系統IL,其用以供應投影輻射光束B。在此特定狀況下,輻射系統亦包含輻射源SO;-第一物件台(例如,光罩台)MT,其包含經組態以固持圖案化器件MA(例如,光罩或比例光罩)之固持器,且連接至用以相對於項目PS來準確地定位該圖案化器件之第一***;-第二物件台(基板台)WT,其包含用以固持基板W(例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確地定位該基板之第二***;及-投影系統(「透鏡」)PS(例如,折射、反射或反射折射光學系統),其用以將圖案化器件MA之經輻照部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如本文所描繪,裝置屬於透射類型(亦即,具有透射光罩)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化器件)。替代地,裝置可使用另一種圖案化器件作為光罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。
源SO(例如,水銀燈或準分子雷射)產生輻射光束。舉例而言,此光束係直接地抑或在已橫穿諸如光束擴展器Ex之調節器之後饋入至照明系統(照明器)IL中。照明器IL可包含用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)之調整器AD。另外,照明器IL通常將包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。
關於圖11應注意,源SO可在微影投影裝置之外殼內(此常常為當源SO為(例如)水銀燈時之狀況),但其亦可在微影投影裝置遠端,其所產生之輻射光束被導引至該裝置中(例如,憑藉合適導向鏡面);此後一情境常常為當源SO為準分子雷射(例如,基於KrF、ArF或F2雷射作用)時之狀況。
光束B隨後截取被固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA的情況下,光束B傳遞通過透鏡PL,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二***(及干涉量測器件IF),可準確地移動基板台WT,例如,以便使不同目標部分C定位於光束B之路徑中。相似地,第一***可用以(例如)在自圖案化器件庫對圖案化器件MA之機械擷取之後或在掃描期間相對於光束B之路徑來準確地定位圖案化器件MA。一般而言,將憑藉未在圖9中明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在晶圓步進器(相對於步進掃描工具)之狀況下,圖案化器件台MT可僅連接至短衝程致動器,或可固 定。
所描繪工具可用於兩種不同模式中:-在步進模式中,將圖案化器件台MT保持基本上靜止,且將整個圖案化器件影像一次性投影((亦即,單一「閃光」)至目標部分C上。接著在x及/或y方向上使基板台WT移位,使得不同目標部分C可由光束B輻照;-在掃描模式中,基本上相同情境適用,惟在單次「閃光」中不曝光給定目標部分C除外。取而代之,圖案化器件台MT在給定方向(所謂「掃描方向」,例如,y方向)上以速率v可移動,使得造成投影光束B遍及圖案化器件影像進行掃描;同時發生地,基板台WT以速率V=Mv在相同或相對方向上同時地移動,其中M為透鏡PL之放大率(通常,M=1/4或=1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。
圖12示意性地描繪可利用本文所描述之方法而最佳化照明源的另一例示性微影投影裝置1000。
微影投影裝置1000包括:-源收集器模組SO;-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射);-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩或比例光罩)MA,且連接至經組態以準確地定位該圖案化器件之第一***PM;-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二***PW;及-投影系統(例如,反射投影系統)PS,其經組態以將由圖案化器 件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,裝置1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以光罩可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一項實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生更小波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化器件構形(topography)上之經圖案化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
參看圖12,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於運用在EUV範圍內之一或多種發射譜線將具有至少一個元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖12中未繪示)之EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等狀況下,不認為雷射形成微影裝置之部件,且輻射光束係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化器件(例如,光罩)MA上,且係藉由該圖案化器件而圖案化。在自圖案化器件(例如,光罩)MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二***PW及位置感測器PS2(例如,干涉量測器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一***PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩)MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。
所描繪裝置1000可用於以下模式中之至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡陣列)之無光罩微影。
圖13更詳細地展示裝置1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可由放電產生電漿源形成EUV輻射發射電漿210。可由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)來產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電來產生極熱電漿210。為了輻射之有效率產生,可需要為(例如)10帕斯卡之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供受激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由經定位於源腔室211中之開口中或後方的選用氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中為吾人所知,本文進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室212可包括可為所謂掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240被反射以沿著由點虛線 「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處輻射光束21之所要角分佈,以及在圖案化器件MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處輻射光束21之反射後,隨即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾光器240。此外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖13所展示之反射元件多1至6個的額外反射元件。
如圖13所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO係較佳地結合放電產生電漿源(常常被稱為DPP源)予以使用。
替代地,源收集器模組SO可為如圖14所展示之LPP輻射系統之部件。雷射LA經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
本文所揭示之概念可模擬或數學上模型化用於使子波長特徵成 像之任何通用成像系統,且可尤其供能夠產生具有愈來愈小之大小之波長的新興成像技術使用。已經在使用中之新興技術包括極紫外線(EUV)微影,其能夠藉由使用ArF雷射來產生193奈米之波長且甚至能夠藉由使用氟雷射來產生157奈米之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體抑或電漿)而產生在20奈米至5奈米之範圍內之波長,以便產生在此範圍內之光子。
可使用以下條項來進一步描述本發明:
1.一種用以改良一微影程序之電腦實施方法,該微影程序用於使用一微影投影裝置而將一設計佈局之一部分成像至一基板上,該微影投影裝置包含一照明源及投影光學件,該方法包含:獲得一源形狀及一光罩散焦值;最佳化該微影程序之一劑量;針對該照明源之複數個隙縫位置中之每一者來最佳化該設計佈局之該部分。
2.如條項1之方法,其進一步包含最佳化該設計佈局,其中該設計佈局之該部分之所有部位處之透射不限於數個離散值。
3.如條項1至2中任一項之方法,其進一步包含將一或多個輔助特徵置放至該設計佈局之該部分中。
4.如條項3之方法,其中該等輔助特徵包含一子解析度輔助特徵、一可印刷解析度輔助特徵,或其一組合。
5.如條項1至4中任一項之方法,其進一步包含獲得該源之一模型、該設計佈局之該部分之一模型、該等投影光學件之一模型,或其一組合,其中該等模型經組態以模擬由該源、該設計佈局之該部分及該等投影光學件產生的一空中影像。
6.如條項5之方法,其中該等模型經組態以用於藉由使用阿貝或霍普金斯公式來演算一空中影像。
7.如條項5之方法,其中最佳化該微影程序之該劑量之該步驟包含使用該等模型中之至少一者。
8.如條項1至7中任一項之方法,其進一步包含針對該等隙縫位置中之每一者來判定一程序窗。
9.如條項5至7中任一項之方法,其中獲得該等模型之該步驟包含在該照明源為一自由形式源之一假定下使用一個三維光罩模型來最佳化該照明源及該設計佈局之該部分。
10.如條項9之方法,其中獲得該等模型之該步驟進一步包含判定由使用該三維光罩模型而最佳化之該照明源及該設計佈局之該部分形成的一空中影像之最佳焦點之一平面。
11.如條項9之方法,其中最佳化該照明源及該設計佈局之該部分之該步驟包含使用表示至少一個隨機變異之一成本函數。
12.如條項9至11中任一項之方法,其中獲得該等模型之該步驟進一步包含將該自由形式源映射至一離散源。
13.如條項9至12中任一項之方法,其中獲得該等模型之該步驟進一步包含最佳化該設計佈局之該部分及該離散源。
14.如條項9之方法,其中獲得該等模型之該步驟進一步包含最佳化該等投影光學件。
15.如條項1至14中任一項之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含獲得光罩散焦之一初始值。
16.如條項15之方法,其中獲得該源形狀及該光罩散焦值之該步驟進一步包含使用一薄光罩模型來最佳化該照明源及該設計佈局之該部分,其中該照明源為一自由形式源。
17.如條項16之方法,其中獲得該源形狀及該光罩散焦值之該步驟進一步包含藉由使用一個三維光罩模型來最佳化該光罩散焦。
18.如條項15之方法,其中獲得該源形狀及該光罩散焦值之該步 驟包含使用複數個光瞳剖面來判定複數個光罩散焦值。
19.如條項15及18中任一項之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含平均化該若干光罩散焦值。
20.如條項15、18及19中任一項之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含判定由該照明源、該設計佈局之該部分及該等投影光學件形成的一空中影像之最佳焦點之一平面。
21.一種電腦程式產品,其包含經記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如以上條項中任一項之方法。
22.一種微影成像裝置,其經組態以用於使用藉由如條項1至20中任一項之方法而判定之源形狀、光罩散焦值及劑量而將一設計佈局之部分成像至一基板上。
雖然本文所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可與任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外之基板上之成像之微影成像系統。
本發明之態樣可以任何方便形式而實施。舉例而言,一實施例可由一或多個適當電腦程式實施,該一或多個適當電腦程式可攜載於可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上。可使用可特定地採取可程式化電腦之形式的合適裝置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文所描述之方法之電腦程式。
以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之實施例進行修改。

Claims (15)

  1. 一種用以改良一微影程序之電腦實施方法,該微影程序用於使用一微影投影裝置而將一設計佈局(design layout)之一部分成像至一基板上,該微影投影裝置包含一照明源(illumination source)及投影光學件(projection optics),該方法包含:獲得一源形狀(source shape)及一光罩散焦值,其包含使用一薄光罩模型(thin mask model)來獲得一初始源形狀;最佳化該微影程序之一劑量(dose);針對該照明源之複數個隙縫(slit)位置中之每一者來最佳化該設計佈局之該部分。
  2. 如請求項1之方法,其進一步包含:最佳化該設計佈局,其中該設計佈局之該部分之所有部位處之透射不限於數個離散值。
  3. 如請求項1之方法,其進一步包含:獲得該照明源之一模型、該設計佈局之該部分之一模型、該等投影光學件之一模型,或其一組合,其中該等模型經組態以模擬由該照明源、該設計佈局之該部分及該等投影光學件產生的一空中影像。
  4. 如請求項3之方法,其中最佳化該微影程序之該劑量之該步驟包含:使用該等模型中之至少一者。
  5. 如請求項1之方法,其進一步包含:針對該等隙縫位置中之每一者來判定一程序窗。
  6. 如請求項3之方法,其中獲得該等模型之該步驟包含:在該照明源為一自由形式源之一假定下使用一個三維光罩模型來最佳化該照明源及該設計佈局之該部分。
  7. 如請求項6之方法,其中獲得該等模型之該步驟進一步包含:判定由使用該三維光罩模型而最佳化之該照明源及該設計佈局之該部分形成的一空中影像之最佳焦點之一平面。
  8. 如請求項6之方法,其中最佳化該照明源及該設計佈局之該部分之該步驟包含:使用表示至少一個隨機變異之一成本函數。
  9. 如請求項6之方法,其中獲得該等模型之該步驟進一步包含將該自由形式源映射至一離散源,或最佳化該設計佈局之該部分及該離散源,或最佳化該等投影光學件。
  10. 如請求項1之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含:獲得光罩散焦之一初始值。
  11. 如請求項10之方法,其中獲得該源形狀及該光罩散焦值之該步驟進一步包含:使用該薄光罩模型來最佳化該照明源及該設計佈局之該部分,其中該照明源為一自由形式源。
  12. 如請求項11之方法,其中獲得該源形狀及該光罩散焦值之該步驟進一步包含:藉由使用一個三維光罩模型來最佳化該光罩散焦。
  13. 如請求項10之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含:使用複數個光瞳剖面來判定複數個光罩散焦值,或平均化該若干光罩散焦值。
  14. 如請求項10之方法,其中獲得該源形狀及該光罩散焦值之該步驟包含:判定由該照明源、該設計佈局之該部分及該等投影光學件形成的一空中影像之最佳焦點之一平面。
  15. 一種電腦程式產品,其包含經記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施如請求項1至14中任一項之方法。
TW104105138A 2014-04-14 2015-02-13 用以改良微影程序之電腦實施方法及電腦程式產品 TWI624765B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461979232P 2014-04-14 2014-04-14
US61/979,232 2014-04-14

Publications (2)

Publication Number Publication Date
TW201539226A TW201539226A (zh) 2015-10-16
TWI624765B true TWI624765B (zh) 2018-05-21

Family

ID=52544483

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105138A TWI624765B (zh) 2014-04-14 2015-02-13 用以改良微影程序之電腦實施方法及電腦程式產品

Country Status (5)

Country Link
US (2) US10025201B2 (zh)
KR (1) KR102006321B1 (zh)
CN (1) CN106164777B (zh)
TW (1) TWI624765B (zh)
WO (1) WO2015158444A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014192518A1 (ja) * 2013-05-27 2014-12-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 露光用光源およびマスクの設計方法、プログラム
CN106164777B (zh) * 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US9891538B2 (en) * 2015-03-16 2018-02-13 Kla-Tencor Corp. Adaptive sampling for process window determination
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
CN110114726B (zh) * 2016-12-28 2021-11-30 Asml荷兰有限公司 确定由图案形成装置上的有限厚度的结构引起的辐射的散射的方法
CN113608416A (zh) * 2016-12-28 2021-11-05 Asml荷兰有限公司 量测图像与设计之间的模拟辅助的对准
US10262408B2 (en) * 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
US20200050099A1 (en) * 2017-05-26 2020-02-13 Asml Netherlands B.V. Assist feature placement based on machine learning
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2018228820A1 (en) * 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
KR102540941B1 (ko) 2017-06-18 2023-06-05 코벤터, 인크. 가상 반도체 디바이스 제조 환경에서 키 파라미터 식별, 프로세스 모델 캘리브레이션 및 가변성 분석을 위한 시스템 및 방법
WO2019072703A1 (en) * 2017-10-11 2019-04-18 Asml Netherlands B.V. FLOW OF OPTIMIZATION OF PROCESS OF FORMATION OF REASONS
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
KR102446690B1 (ko) 2017-12-22 2022-09-23 에이에스엠엘 네델란즈 비.브이. 광학 수차를 포함하는 패터닝 공정 개선
CN117170173A (zh) * 2018-02-18 2023-12-05 Asml荷兰有限公司 二元化方法和自由形式的掩模优化流程
CN111868625B (zh) 2018-03-19 2024-01-23 Asml荷兰有限公司 用于确定图案形成装置的曲线图案的方法
US10684555B2 (en) * 2018-03-22 2020-06-16 Applied Materials, Inc. Spatial light modulator with variable intensity diodes
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
TWI766127B (zh) * 2018-11-19 2022-06-01 聯華電子股份有限公司 優化微影對焦參數的方法
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
CN113544592A (zh) 2019-03-08 2021-10-22 Asml荷兰有限公司 用于衍射图案引导的源掩模优化的方法和设备
CN114402342A (zh) 2019-09-16 2022-04-26 Asml荷兰有限公司 用于生成特性图案以及训练机器学习模型的方法
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
WO2021175570A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
CN112394615B (zh) * 2020-11-16 2024-02-09 中国科学院上海光学精密机械研究所 极紫外光刻光源掩模优化方法
CN113031390A (zh) * 2021-03-15 2021-06-25 广东省大湾区集成电路与***应用研究院 激光直写及其仿真的方法、装置
CN113534614B (zh) * 2021-06-28 2023-09-19 上海华力集成电路制造有限公司 一种基于扫描式曝光机的动态照明方法
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
CN115185165B (zh) * 2022-09-13 2023-01-06 全芯智造技术有限公司 佐辅模型的构建方法、光学修正方法及装置、终端
CN115933328B (zh) * 2022-12-16 2023-07-18 武汉宇微光学软件有限公司 一种基于凸优化的光刻模型标定方法和***
CN116627101B (zh) * 2023-07-20 2023-09-19 北京东方泰阳科技有限公司 一种复合基片生产线的控制方法及***

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020152452A1 (en) * 2001-02-23 2002-10-17 Asml Netherlands B.V. Illumination optimization for specific mask patterns
TW200510923A (en) * 2003-08-29 2005-03-16 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20050142470A1 (en) * 2003-12-19 2005-06-30 Asml Masktools B.V. Feature optimization using interference mapping lithography
US20090296055A1 (en) * 2008-06-03 2009-12-03 Jun Ye Lens heating compensation systems and methods
US20120099091A1 (en) * 2010-10-22 2012-04-26 Asml Netherlands B.V. Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JP2938568B2 (ja) 1990-05-02 1999-08-23 フラウンホファー・ゲゼルシャフト・ツール・フォルデルング・デル・アンゲバンテン・フォルシュング・アインゲトラーゲネル・フェライン 照明装置
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US20040197672A1 (en) * 2003-04-01 2004-10-07 Numerical Technologies, Inc. Programmable aperture for lithographic imaging systems
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US8049865B2 (en) 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2003696A (en) 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
KR101766734B1 (ko) 2008-11-21 2017-08-16 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8372565B2 (en) * 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007287A (en) * 2010-09-14 2012-03-15 Asml Netherlands Bv Correction for flare effects in lithography system.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
NL2011592A (en) * 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
JP6140844B2 (ja) 2013-02-22 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. 三次元パターニングデバイス用リソグラフィモデル
KR101807687B1 (ko) * 2013-02-25 2017-12-11 에이에스엠엘 네델란즈 비.브이. 이산 소스 마스크 최적화
CN106104384B (zh) 2014-03-18 2019-07-05 Asml荷兰有限公司 图案位置误差感知优化
CN106164777B (zh) * 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020152452A1 (en) * 2001-02-23 2002-10-17 Asml Netherlands B.V. Illumination optimization for specific mask patterns
TW200510923A (en) * 2003-08-29 2005-03-16 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20050142470A1 (en) * 2003-12-19 2005-06-30 Asml Masktools B.V. Feature optimization using interference mapping lithography
US20090296055A1 (en) * 2008-06-03 2009-12-03 Jun Ye Lens heating compensation systems and methods
US20120099091A1 (en) * 2010-10-22 2012-04-26 Asml Netherlands B.V. Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus

Also Published As

Publication number Publication date
US10025201B2 (en) 2018-07-17
US20180341186A1 (en) 2018-11-29
WO2015158444A1 (en) 2015-10-22
US20170038692A1 (en) 2017-02-09
TW201539226A (zh) 2015-10-16
KR20160141851A (ko) 2016-12-09
US10459346B2 (en) 2019-10-29
KR102006321B1 (ko) 2019-08-01
CN106164777A (zh) 2016-11-23
CN106164777B (zh) 2019-06-18

Similar Documents

Publication Publication Date Title
TWI624765B (zh) 用以改良微影程序之電腦實施方法及電腦程式產品
US10955755B2 (en) Optimization of assist features and source
TWI745863B (zh) 訓練機器學習模型以判定光罩的光學接近校正的方法及相關聯電腦程式產品
US20190155165A1 (en) Discrete source mask optimization
TWI620980B (zh) 影像對數斜率(ils)最佳化
TWI567508B (zh) 圖案置放誤差感知之最佳化
KR102440220B1 (ko) 패터닝 공정을 위한 최적화의 흐름
TWI620033B (zh) 判定光阻變形之方法
TWI806002B (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
KR102278367B1 (ko) 어시스트 피처들의 규칙-기반 배치
TWI643026B (zh) 用於調整微影投影裝置之電腦實施方法及電腦程式產品
CN111512236B (zh) 涉及光学像差的图案化过程改进
KR20200072474A (ko) 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
KR101757777B1 (ko) 3­차원 패터닝 디바이스에 대한 리소그래피 모델
TWI620034B (zh) 用於微影模擬的電腦實施方法及電腦程式產品
TW202240280A (zh) 用於判定光罩圖案及訓練機器學習模型之方法
TW202307722A (zh) 蝕刻系統、模型、及製造程序
TWI786658B (zh) 像差影響系統、模型、及製造程序
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution