TWI611507B - Method and apparatus for outcroping on the back side of a through hole - Google Patents

Method and apparatus for outcroping on the back side of a through hole Download PDF

Info

Publication number
TWI611507B
TWI611507B TW103136671A TW103136671A TWI611507B TW I611507 B TWI611507 B TW I611507B TW 103136671 A TW103136671 A TW 103136671A TW 103136671 A TW103136671 A TW 103136671A TW I611507 B TWI611507 B TW I611507B
Authority
TW
Taiwan
Prior art keywords
substrate
back surface
etchant
nozzle
ruthenium
Prior art date
Application number
TW103136671A
Other languages
Chinese (zh)
Other versions
TW201616604A (en
Inventor
David Wang
Fuping Chen
Xiaoyan Zhang
Original Assignee
Acm Res Shanghai Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Acm Res Shanghai Inc filed Critical Acm Res Shanghai Inc
Priority to TW103136671A priority Critical patent/TWI611507B/en
Publication of TW201616604A publication Critical patent/TW201616604A/en
Application granted granted Critical
Publication of TWI611507B publication Critical patent/TWI611507B/en

Links

Landscapes

  • Weting (AREA)

Description

矽通孔背面露頭的方法和裝置 Method and apparatus for outcroping on the back side of a through hole

本發明關於矽通孔背面露頭的方法和裝置,尤其關於透過矽蝕刻達成矽通孔背面露頭的方法和裝置。 The present invention relates to a method and apparatus for the outcrop of a through-hole, and more particularly to a method and apparatus for achieving a back-to-back out-of-hole of a through-hole by enthalpy etching.

超大型積體電路尺寸的不斷減小雖然降低了門延遲但迅速增加了互連延遲。而且,隨著半導體技術節點的不斷縮小,先進的超大型積體電路的性能改進已經開始飽和,在高性能晶片中,沈重的互連負載增加了功耗。導線截面越來越小、線距越來越短以及為貫穿大晶片而增長的電路增加了RC延遲。因此,傳統二維(2D)封裝技術已迅速接近物理極限使得縮小其器件的尺寸變得非常困難。 The ever-decreasing size of very large integrated circuits, while reducing gate delay, rapidly increases interconnect latency. Moreover, as semiconductor technology nodes continue to shrink, the performance improvements of advanced ultra-large integrated circuits have begun to saturate, and in high-performance wafers, heavy interconnect loads increase power consumption. Wire cross sections are getting smaller and smaller, line spacing is getting shorter and shorter, and RC delay is added to circuits that grow through large wafers. As a result, traditional two-dimensional (2D) packaging techniques have rapidly approached physical limits making it very difficult to reduce the size of their devices.

近年來,三維(3D)積體電路物理設計吸引了越來越多的關注。3D積體電路技術已成為最有希望的解決方案來克服傳統的2D器件縮小的限制。在電子工業中,三維積體電路是將兩層或多層的有源電子元器件在垂直和水平兩個方向上集成為一個單一的電路。3D積體電路堆疊技術的優勢在於,例如密度增加、較短的互連長度、較小的互連延遲、帶寬增加、降低了功耗、降低了成本並使得異質集成成為可能。3D積體電路與2D積體電路之間的關鍵 區別是矽通孔(TSVs),矽通孔在3D積體電路中連接多層器件層。目前有以下幾種TSV工藝已經開發以製造3D積體電路產品,稱之為先通孔(Via First)工藝、中間通孔(Via Middle)工藝及後通孔(Via Last)工藝。先通孔(Via First)工藝中,矽通孔是在器件製造的早期形成,這種TSV技術與後續標準的CMOS工藝完全相容,此外,這種技術對其他封裝應用,例如MEMS封裝或記憶體堆疊,也是有效的。中間通孔(Via Middle)工藝中,矽通孔是在矽前道工序電晶體形成工藝之後,且在後道工序金屬化工藝之前形成。後通孔(Via Last)工藝中,矽通孔是在後道工序工藝之後形成。通常,先通孔(Via First)工藝和中間通孔(Via Middle)工藝要求嚴格的CD控制,具有小的通孔直徑(5-20μm),常用的縱橫比(Aspect Ratio)為3:1至10:1。後通孔(Via Last)工藝在CD控制方面的要求相對寬鬆,具有大的通孔直徑(20-50μm),常用的縱橫比(Aspect Ratio)為3:1至15:1。 In recent years, the physical design of three-dimensional (3D) integrated circuits has attracted more and more attention. 3D integrated circuit technology has become the most promising solution to overcome the limitations of traditional 2D device shrinking. In the electronics industry, a three-dimensional integrated circuit integrates two or more layers of active electronic components into a single circuit in both vertical and horizontal directions. The advantages of 3D integrated circuit stacking technology are, for example, increased density, shorter interconnect lengths, smaller interconnect delays, increased bandwidth, reduced power consumption, reduced cost and possible heterogeneous integration. The key between 3D integrated circuits and 2D integrated circuits The difference is the through-holes (TSVs), which connect the multilayer device layers in a 3D integrated circuit. The following TSV processes have been developed to manufacture 3D integrated circuit products, referred to as the Via First process, the Via Middle process, and the Via Last process. In the Via First process, germanium vias are formed early in device fabrication. This TSV technology is fully compatible with subsequent standard CMOS processes. In addition, this technology is applicable to other package applications such as MEMS packages or memories. Body stacking is also effective. In the Via Middle process, the via holes are formed after the front transistor process, and before the subsequent process metallization process. In the Via Last process, the via holes are formed after the subsequent process. In general, the Via First process and the Via Middle process require strict CD control with a small through-hole diameter (5-20 μm) and a commonly used aspect ratio of 3:1 to 10:1. The Via Last process is relatively loose in terms of CD control, with a large through-hole diameter (20-50 μm) and a commonly used aspect ratio of 3:1 to 15:1.

傳統的3D矽通孔製造過程包括兩步主要步驟: The traditional 3D 矽 through hole manufacturing process consists of two main steps:

步驟1是在矽襯底上形成矽通孔。該步驟包括在矽襯底上蝕刻多個通孔;在通孔的側壁和底壁上化學氣相沈積電介質氧化物襯墊;在通孔的側壁和底壁上物理氣相沈積阻擋層和種子層;在通孔中填充導電材料。導電材料可以是銅、鎢、多晶矽或者摻雜的矽。在通孔中填充導電材料的方法可以是電化學沈積、化學氣相沈積或者低壓化學氣 相沈積。 Step 1 is to form a through hole on the germanium substrate. The step includes etching a plurality of via holes on the germanium substrate; chemical vapor deposition of the dielectric oxide liner on the sidewalls and the bottom wall of the via; physically vapor depositing the barrier layer and the seed on the sidewalls and the bottom wall of the via a layer; a conductive material is filled in the via hole. The electrically conductive material can be copper, tungsten, polycrystalline germanium or doped germanium. The method of filling the conductive material in the via hole may be electrochemical deposition, chemical vapor deposition or low pressure chemical gas. Phase deposition.

步驟2是矽襯底背面減薄和矽通孔背面露頭。該步驟是達成3D矽通孔製造的關鍵步驟。該步驟進一步包括如下步驟:A)將矽襯底的正面與載片臨時粘合在一起;B)透過機械研磨將矽襯底背面減薄至大約低於矽通孔5-14μm,TSV工藝的關鍵在於控制研磨後的矽總厚度偏差及矽表面質量;C)在單片清洗機中清洗矽襯底,由於在研磨過程中,矽襯底的正面和背面會產生大量的顆粒污染和研磨磨痕缺陷,因此,需要對矽襯底進行清洗;D)化學機械研磨(CMP)矽襯底的背面直至矽通孔的中心導體從矽襯底的背面露出。矽襯底的背面經過機械研磨後,呈現出來的矽層薄並且表面已受到損傷。CMP去除受損的矽表層並使矽通孔的中心導體從矽襯底的背面露出,CMP是傳統的3D矽通孔製造的慣用手段。然而,CMP仍存在一些先天不足之處,例如,由於CMP工藝相對較高的銅層研磨速率以及相對較低的熱氧層研磨速率,有可能使得導電材料(例如銅和鎢)污染矽層而導致器件可靠性降低,產生劃痕、凹陷、腐蝕等。 Step 2 is to thin the back side of the substrate and the back side of the through hole. This step is a key step in achieving 3D 矽 through hole fabrication. The step further includes the steps of: A) temporarily bonding the front side of the germanium substrate to the carrier; B) thinning the back surface of the germanium substrate to about 5 to 14 μm below the through-hole by mechanical grinding, TSV process The key is to control the total thickness deviation of the crucible after grinding and the surface quality of the crucible; C) cleaning the crucible substrate in a single-chip cleaning machine, due to the large amount of particle contamination and grinding on the front and back sides of the crucible substrate during the grinding process. Trace defects, therefore, the ruthenium substrate needs to be cleaned; D) chemical mechanical polishing (CMP) 背面 the back side of the substrate until the center conductor of the 矽 through hole is exposed from the back surface of the ruthenium substrate. After the back surface of the ruthenium substrate is mechanically ground, the ruthenium layer is thin and the surface has been damaged. The CMP removes the damaged tantalum layer and exposes the center conductor of the via hole from the back side of the germanium substrate, which is a conventional means of conventional 3D germanium via fabrication. However, there are still some inherent shortcomings in CMP. For example, due to the relatively high copper layer polishing rate of the CMP process and the relatively low thermal oxide layer polishing rate, it is possible to cause conductive materials (such as copper and tungsten) to contaminate the germanium layer. Device reliability is reduced, resulting in scratches, dents, corrosion, and the like.

本發明的目的是提供一種矽通孔背面露頭的方法和裝置,以克服傳統CMP工藝在矽通孔背面露頭過程中存在的缺陷。 SUMMARY OF THE INVENTION It is an object of the present invention to provide a method and apparatus for backing out the back of a through-hole to overcome the drawbacks of conventional CMP processes during the outcrop of the through-hole.

根據本發明一實施例的矽通孔背面露頭的方法,包括如下步驟:提供矽襯底,矽襯底內形成有若干矽通孔;旋轉矽襯底並向矽襯底的背面噴灑第一蝕刻劑以蝕刻矽襯底的背面,在矽通孔從矽襯底的背面露出之前停止蝕刻;旋轉矽襯底並向矽襯底的背面噴灑第二蝕刻劑以蝕刻矽襯底的背面直到矽通孔從矽襯底的背面露出,在向矽襯底的背面噴灑第二蝕刻劑期間,在設定的時間間隔內,使矽襯底的旋轉方向反向。 A method for exposing the back side of a through-hole according to an embodiment of the present invention includes the steps of: providing a germanium substrate, wherein a plurality of germanium via holes are formed in the germanium substrate; rotating the germanium substrate and spraying the first etching on the back surface of the germanium substrate Etching to etch the back side of the germanium substrate, stopping etching before the germanium via hole is exposed from the back surface of the germanium substrate; rotating the germanium substrate and spraying a second etchant toward the back side of the germanium substrate to etch the back surface of the germanium substrate until the pass The hole is exposed from the back surface of the ruthenium substrate, and the direction of rotation of the ruthenium substrate is reversed during a set time interval during the spraying of the second etchant onto the back surface of the ruthenium substrate.

根據本發明一實施例的矽通孔背面露頭的裝置,包括:可旋轉的卡盤裝置,該卡盤裝置在設定的時間間隔內,使其自身的旋轉方向反向,卡盤裝置固定矽襯底,矽襯底內形成有若干矽通孔;至少一個噴嘴,佈置在卡盤裝置的上方,該噴嘴向矽襯底的背面噴灑第一蝕刻劑以蝕刻矽襯底的背面,在矽通孔從矽襯底的背面露出之前停止噴灑第一蝕刻劑,該噴嘴向矽襯底的背面噴灑第二蝕刻劑以蝕刻矽襯底的背面直到矽通孔從矽襯底的背面露出。 An apparatus for backing out the back of a through hole according to an embodiment of the present invention includes: a rotatable chuck device that reverses its own rotation direction within a set time interval, and the chuck device fixes the lining a bottom, a plurality of through holes are formed in the substrate; at least one nozzle is disposed above the chuck device, and the nozzle sprays a first etchant toward the back surface of the germanium substrate to etch the back surface of the germanium substrate The spraying of the first etchant is stopped before exposing from the back surface of the ruthenium substrate, and the nozzle sprays a second etchant toward the back surface of the ruthenium substrate to etch the back surface of the ruthenium substrate until the ruthenium via hole is exposed from the back surface of the ruthenium substrate

本發明採用兩步濕法蝕刻達成矽通孔背面露 頭,與傳統的透過CMP達成矽通孔背面露頭的工藝相比,本發明採用兩步濕法蝕刻工藝達成矽通孔背面露頭的優勢如下:對矽和二氧化矽具有很高的蝕刻選擇比、完全避免了銅對矽襯底101造成污染、明顯降低了成本、避免了CMP先天存在的缺陷例如劃痕、凹陷、腐蝕等。此外,在第二步濕法蝕刻工藝中,在設定的時間間隔內,使矽襯底的旋轉方向反向能夠將低速蝕刻區域處殘留的矽去除。 The invention adopts two-step wet etching to achieve the back surface of the through hole In the head, compared with the conventional process of achieving the through-head outcrop of the through-hole through CMP, the present invention adopts a two-step wet etching process to achieve the advantages of the back surface of the through-hole, as follows: high etching selectivity for tantalum and niobium dioxide The copper is completely prevented from polluting the ruthenium substrate 101, the cost is obviously reduced, and defects such as scratches, dents, corrosion, and the like which are inherent in the CMP are avoided. Further, in the second-step wet etching process, reversing the direction of rotation of the germanium substrate in a set time interval can remove the residual germanium at the low-speed etching region.

101‧‧‧矽襯底 101‧‧‧矽 substrate

102‧‧‧矽通孔 102‧‧‧矽through hole

103‧‧‧電介質隔離氧化襯墊 103‧‧‧Dielectric isolation oxide liner

104‧‧‧器件 104‧‧‧Device

105‧‧‧粘合層 105‧‧‧Adhesive layer

106‧‧‧承載片 106‧‧‧Carrier

108‧‧‧快速蝕刻區域 108‧‧‧Quick etched area

109‧‧‧低速蝕刻區域 109‧‧‧Low speed etching area

110‧‧‧噴嘴 110‧‧‧Nozzles

111‧‧‧蝕刻劑 111‧‧‧ etchant

112‧‧‧SiO2/Si3N4 112‧‧‧SiO 2 /Si 3 N 4

113‧‧‧PR 113‧‧‧PR

200‧‧‧裝置 200‧‧‧ device

201‧‧‧卡盤裝置 201‧‧‧ chuck device

202‧‧‧承載片 202‧‧‧ Carrying film

203‧‧‧矽襯底 203‧‧‧矽 substrate

204a‧‧‧保護環 204a‧‧‧Protection ring

204b‧‧‧保護環 204b‧‧‧Protection ring

204c‧‧‧保護環 204c‧‧‧Protection ring

205‧‧‧噴嘴 205‧‧‧ nozzle

206‧‧‧定位銷 206‧‧‧Locating pin

207‧‧‧穿孔 207‧‧‧Perforation

208‧‧‧保護氣體 208‧‧‧Protective gases

圖1a至圖1h揭示了根據本發明的一實施例的矽通孔背面露頭的工藝過程的剖面結構示意圖。 1a through 1h illustrate cross-sectional structural views of a process for backing out the back of a through hole according to an embodiment of the present invention.

圖2揭示了矽襯底濕法蝕刻的傳統方法。 Figure 2 illustrates a conventional method of wet etching of tantalum substrates.

圖3揭示了根據本發明的矽襯底濕法蝕刻的改進方法。 Figure 3 illustrates an improved method of wet etching of tantalum substrates in accordance with the present invention.

圖4a至圖4b揭示了矽襯底在濕法蝕刻過程中的頂視圖。 Figures 4a through 4b illustrate top views of a tantalum substrate during wet etching.

圖5a和圖5b揭示了採用傳統方法和改進方法的邊緣濕法蝕刻的對比圖。 Figures 5a and 5b show a comparison of edge wet etching using conventional and improved methods.

圖6揭示了根據本發明的一實施例的矽通孔背面露頭的裝置的頂視圖。 Figure 6 discloses a top view of an apparatus for the outcrop of a through-hole in accordance with an embodiment of the present invention.

圖7為圖6沿A-A'的剖視圖。 Figure 7 is a cross-sectional view taken along line A-A' of Figure 6.

圖8為圖7中區域B的放大圖。 Figure 8 is an enlarged view of a region B in Figure 7.

圖9揭示了根據本發明的另一實施例的矽通孔背面露頭的裝置的剖視圖。 Figure 9 discloses a cross-sectional view of a device for the back side out of a through-hole according to another embodiment of the present invention.

圖10揭示了根據本發明的又一實施例的矽通孔背面露頭的裝置的剖視圖。 Figure 10 illustrates a cross-sectional view of an apparatus for the back side out of a through-hole according to yet another embodiment of the present invention.

為詳細說明本發明的技術內容、構造特徵、所達成目的及效果,下面將結合實施例並配合圖式予以詳細說明。 The details of the technical contents, structural features, objects and effects of the present invention will be described in detail below with reference to the embodiments.

本發明主要是利用兩步濕法蝕刻達成矽通孔背面露頭。通常有兩種類型的矽蝕刻劑被使用:第一蝕刻劑對矽和二氧化矽的蝕刻選擇比較低,第二蝕刻劑對矽和二氧化矽的蝕刻選擇比較高。第一蝕刻劑和第二蝕刻劑之間存在一些差異。通常,第一蝕刻劑蝕刻矽襯底的速率高於第二蝕刻劑,但是第二蝕刻劑可在矽表面達成更為精確及精細的蝕刻。第一蝕刻劑在所有方向上具有相同的蝕刻速率,且該蝕刻速率不是主要取決於晶向。對於第二蝕刻劑,蝕刻速率取決於晶向,某一晶向的蝕刻速率比其他晶向的蝕刻速率快很多。這種高蝕刻速率選擇比的特性能夠被用於矽通孔背面露頭工藝中,以矽為例,晶向<100>和<110>的蝕刻速率遠大於晶向<111>。例如,KOH、水和乙醇的溶液對蝕刻晶向<100>、<110>、<111>的速率比為40:30:1。 The invention mainly uses a two-step wet etching to achieve the back surface out-of-hole of the through hole. There are typically two types of tantalum etchants used: the first etchant has a lower etch option for tantalum and niobium dioxide, and the second etchant has a higher etch option for tantalum and niobium dioxide. There are some differences between the first etchant and the second etchant. Typically, the first etchant etches the ruthenium substrate at a higher rate than the second etchant, but the second etchant achieves a more precise and fine etch on the tantalum surface. The first etchant has the same etch rate in all directions, and the etch rate is not primarily dependent on the crystal orientation. For the second etchant, the etch rate depends on the crystal orientation, and the etch rate of a certain crystal orientation is much faster than the etch rate of other crystal orientations. This high etch rate selectivity ratio can be used in the backside outcrop process of the via hole. For example, the etch rate of the crystal orientation <100> and <110> is much larger than the crystal orientation <111>. For example, the ratio of the solution of KOH, water, and ethanol to the etching crystal orientation <100>, <110>, <111> is 40:30:1.

第一蝕刻劑是一種強酸性物質例如 HN(HF/HNO3基)的溶液,該溶液對矽和二氧化矽的蝕刻選擇比較低,蝕刻速率取決於溶液的比例,例如,一種具有少量HF,大量HNO3的溶液將會導致高的蝕刻速率。當蝕刻速率高時,腐蝕後的矽襯底表面粗糙;當蝕刻速率很低時,矽襯底的表面非常平坦和光滑。其反應機理是HNO3氧化Si,HF去除SiO2。化學反應式如下:Si+4HNO3 → SiO2+2H2O+4NO2 The first etchant is a solution of a strongly acidic substance such as HN (HF/HNO 3 based), which has a relatively low etching selectivity for bismuth and cerium oxide, and the etching rate depends on the ratio of the solution, for example, a small amount of HF, A large amount of HNO 3 solution will result in a high etch rate. When the etching rate is high, the surface of the ruthenium substrate after etching is rough; when the etching rate is low, the surface of the ruthenium substrate is very flat and smooth. The reaction mechanism is that HNO 3 oxidizes Si and HF removes SiO 2 . The chemical reaction formula is as follows: Si+4HNO 3 → SiO 2 +2H 2 O+4NO 2

SiO2+6HF → H2SiF6+2H2O SiO 2 +6HF → H 2 SiF 6 +2H 2 O

對於21HNO3(70%)與4HF(49%)混合的、溫度為25℃的HN溶液,Si的蝕刻速率大約為13.8μm/min,SiO2的蝕刻速率大約為8.39μm/min。對蝕刻速率的精確控制要求溫度控制在±0.5℃。 For a HN solution having a temperature of 25 ° C mixed with 21HNO 3 (70%) and 4HF (49%), the etching rate of Si is about 13.8 μm/min, and the etching rate of SiO 2 is about 8.39 μm/min. Precise control of the etch rate requires a temperature control of ±0.5 °C.

第二蝕刻劑是一種強鹼性物質,PH值大於12,例如以KOH為基礎的水溶液、TMAH(Tetramethyl Ammonium Hydroxide)溶液或者EDP(Ethylenediamine Pyrocatechol)溶液等。反應機理如下:Si+4OH- → Si(OH)4+4e The second etchant is a strongly alkaline substance having a pH greater than 12, such as a KOH-based aqueous solution, a TMAH (Tetramethyl Ammonium Hydroxide) solution, or an EDP (Ethylenediamine Pyrocatechol) solution. The reaction mechanism is as follows: Si+4OH - → Si(OH) 4 +4e

由於矽原子的每一晶向的結合能量不同,KOH/TMAH矽蝕刻反應是由反應速率控制而非擴散控制。矽蝕刻是高度各向異性。例如,矽的摻雜濃度也會對蝕刻造成很大的影響。特別地,在蝕刻過程中,如果硼的摻雜濃度超過1019cm-3,硼摻雜矽在矽片表面形成硼矽酸鹽玻璃,從而導致蝕刻停止。又例如,當晶向<100>和<110>被蝕刻時,晶向<111>幾乎不會被第二蝕刻劑蝕刻。結果,濃度為44%、溫度為85℃的KOH溶液蝕刻晶向<100>、 <110>、<111>的蝕刻速率比為300:600:1,晶向<100>的蝕刻速率大約為1.4μm/min,SiO2的蝕刻速率大約為14À/min,Si3N4的蝕刻速率小於1À/min。濃度為25%、溫度為80℃的TMAH溶液蝕刻晶向<100>、<110>、<111>的蝕刻速率比為37:68:1,晶向<100>的蝕刻速率大約為0.3-1μm/min,SiO2的蝕刻速率大約為2À/min,Si3N4的蝕刻速率小於1À/min。115℃的EDP溶液蝕刻晶向<100>、<110>、<111>的蝕刻速率比為20:10:1,晶向<100>的蝕刻速率大約為1.25μm/min,SiO2的蝕刻速率大約為2À/min,Si3N4的蝕刻速率小於1À/min。對於這種高蝕刻選擇比的特性,SiO2或SixNy在以KOH為基礎的溶液裏可以用作硬掩膜層,其中較佳為SixNy。SiO2和SixNy在以TMAH和EDP為基礎的溶液裏可以用作硬掩膜層。 Since the binding energy of each crystal orientation of germanium atoms is different, the KOH/TMAH矽 etching reaction is controlled by reaction rate rather than diffusion. Tantalum etching is highly anisotropic. For example, the doping concentration of germanium can also have a large effect on etching. In particular, during the etching process, if the doping concentration of boron exceeds 10 19 cm -3 , the boron-doped germanium forms borosilicate glass on the surface of the crucible, thereby causing the etching to stop. For another example, when the crystal orientations <100> and <110> are etched, the crystal orientation <111> is hardly etched by the second etchant. As a result, the etch rate of the etched crystal orientation of <100>, <110>, and <111> of the KOH solution having a concentration of 44% and a temperature of 85 ° C was 300:600:1, and the etching rate of the crystal orientation <100> was about 1.4. Μm/min, the etching rate of SiO 2 is about 14 Å/min, and the etching rate of Si 3 N 4 is less than 1 À/min. The etch rate ratio of the etching crystal orientation <100>, <110>, <111> of the TMAH solution with a concentration of 25% and the temperature of 80 °C is 37:68:1, and the etching rate of the crystal orientation <100> is about 0.3-1 μm. /min, the etching rate of SiO 2 is about 2 À / min, and the etching rate of Si 3 N 4 is less than 1 À / min. The etching rate of the EDP solution at 115 ° C is <20>, <110>, <111> is 20:10:1, the etching rate of the crystal orientation <100> is about 1.25 μm/min, and the etching rate of SiO 2 Approximately 2 Å/min, the etching rate of Si 3 N 4 is less than 1 À/min. For such a high etching selectivity ratio, SiO 2 or Si x N y can be used as a hard mask layer in a KOH-based solution, preferably Si x N y . SiO 2 and Si x N y can be used as a hard mask layer in TMAH and EDP based solutions.

結合上述,下面將詳細介紹根據本發明的一實施例的矽通孔背面露頭的方法。 In connection with the above, a method of bumping the back side of the through hole according to an embodiment of the present invention will be described in detail below.

參考圖1a所示,第一步,提供矽襯底101,矽襯底101具有若干矽通孔102。矽通孔102內填滿銅,銅被包覆在電介質隔離氧化物襯墊103內,以防止銅在後續工藝過程中擴散。矽通孔102形成之後,緊接著進行標準完整的BEOL金屬化處理,在矽襯底101中形成器件104。接下來的工藝步驟是減薄矽襯底101的背面。為了保證薄的矽襯底101具有所需的機械穩定性和硬度,臨時粘合是一種常用的方法,如圖1a所示,矽襯底101的正面朝下並透過粘合層105固定在承載片106上。承載片106可 以選用矽片或玻璃片。 Referring to FIG. 1a, in a first step, a germanium substrate 101 is provided, and the germanium substrate 101 has a plurality of through vias 102. The via hole 102 is filled with copper, and the copper is coated in the dielectric isolation oxide liner 103 to prevent copper from diffusing in subsequent processes. After the via via 102 is formed, a standard complete BEOL metallization process is performed followed by the formation of the device 104 in the germanium substrate 101. The next process step is to thin the back side of the germanium substrate 101. In order to ensure that the thin tantalum substrate 101 has the required mechanical stability and hardness, temporary bonding is a common method. As shown in FIG. 1a, the tantalum substrate 101 faces downward and is fixed to the bearing through the adhesive layer 105. On the slice 106. The carrier sheet 106 can Use a choice of cymbals or glass.

參考圖1b所示,第二步,減薄矽襯底101的背面,並在電介質隔離氧化物襯墊103露出來之前停止減薄。常用的減薄矽襯底101的背面的方法包括機械研磨。在本步驟中,為了防止對電介質隔離氧化物襯墊103和填充在矽通孔102內的銅造成損壞,矽襯底101背面研磨至只是接近但不接觸電介質隔離氧化物襯墊103,因此,矽通孔102沒有露出來。如圖1b所示,矽襯底101的背面經過機械研磨後,矽襯底101的背面粗糙且被損壞。 Referring to FIG. 1b, in the second step, the back side of the germanium substrate 101 is thinned and the thinning is stopped before the dielectric isolation oxide liner 103 is exposed. A commonly used method of thinning the back side of the ruthenium substrate 101 includes mechanical grinding. In this step, in order to prevent damage to the dielectric isolation oxide liner 103 and the copper filled in the via hole 102, the back surface of the germanium substrate 101 is ground to be close to but not in contact with the dielectric isolation oxide liner 103, and therefore, The through hole 102 is not exposed. As shown in FIG. 1b, after the back surface of the tantalum substrate 101 is mechanically ground, the back surface of the tantalum substrate 101 is rough and damaged.

參考圖1c所示,第三步,使用第一蝕刻劑蝕刻矽襯底101的背面,並在電介質隔離氧化物襯墊103露出來之前停止蝕刻。這是第一步濕法蝕刻,且大量的矽被快速蝕刻。第一蝕刻劑是一種強酸性物質例如HN(HF/HNO3基)的溶液。該步驟用於去除矽通孔102底部的矽,但是矽通孔102此時不會從矽襯底101的背面露出,其原因在於,第一蝕刻劑也能蝕刻電介質隔離氧化物襯墊103。如圖1c所示,本步驟結束之後,得到的矽襯底101表面光滑且潔淨。 Referring to FIG. 1c, in a third step, the back side of the germanium substrate 101 is etched using a first etchant and the etching is stopped before the dielectric isolation oxide liner 103 is exposed. This is the first step of wet etching, and a large amount of tantalum is quickly etched. The first etchant is a solution of a strongly acidic substance such as HN (HF/HNO 3 based). This step is for removing the germanium at the bottom of the via hole 102, but the via hole 102 is not exposed from the back surface of the germanium substrate 101 at this time because the first etchant can also etch the dielectric isolation oxide liner 103. As shown in Fig. 1c, after the end of this step, the obtained ruthenium substrate 101 has a smooth surface and is clean.

參考圖1d所示,第四步,使用第二蝕刻劑蝕刻矽襯底101的背面直至矽通孔102從矽襯底101的背面露出。矽通孔102露頭。這是第二步濕法蝕刻,此蝕刻步驟因其可達成對矽的精確蝕刻控制而用以矽通孔的露出。第二蝕刻劑是一種強鹼性物質例如TMAH-、KOH-或EDP-溶液。第二蝕刻劑對矽和二氧化矽具有很高的蝕刻選擇 比,因此,第二蝕刻劑能夠被用來露頭矽通孔102,而不會對電介質隔離氧化物襯墊103造成損害。如圖1d所示,經過兩步濕法蝕刻之後,矽通孔102露出,同時在矽襯底表面形成微凸塊。 Referring to FIG. 1d, in the fourth step, the back surface of the germanium substrate 101 is etched using a second etchant until the via via 102 is exposed from the back surface of the germanium substrate 101. The through hole 102 is outcropped. This is the second step of wet etching, which is used to expose the via holes because it achieves precise etch control of the ruthenium. Second etchant is a strong alkaline substance such as TMAH -, KOH -, or EDP - solution. The second etchant has a high etch selectivity ratio for bismuth and cerium oxide, and thus, the second etchant can be used to expose the vias 102 without causing damage to the dielectric isolation oxide liner 103. As shown in FIG. 1d, after two steps of wet etching, the via holes 102 are exposed while forming microbumps on the surface of the tantalum substrate.

在兩步濕法蝕刻步驟中,第一蝕刻劑和第二蝕刻劑均用於蝕刻矽襯底101的背面。為了避免第一蝕刻劑和第二蝕刻劑蝕刻矽襯底101的正面,承載片106可以由保護性液體例如去離子水或保護性氣體例如氮氣保護起來。 In the two-step wet etching step, both the first etchant and the second etchant are used to etch the back surface of the germanium substrate 101. In order to prevent the first etchant and the second etchant from etching the front side of the germanium substrate 101, the carrier sheet 106 may be protected by a protective liquid such as deionized water or a protective gas such as nitrogen.

與傳統的透過CMP達成矽通孔背面露頭的工藝相比,本發明採用兩步濕法蝕刻工藝達成矽通孔背面露頭的優勢如下:對矽和二氧化矽具有很高的蝕刻選擇比、完全避免了銅對矽襯底101造成污染、明顯降低了成本、避免了CMP先天存在的缺陷例如劃痕、凹陷、腐蝕等。然而,使用本發明的方法也存在巨大的挑戰,第一個挑戰是在濕法蝕刻過程中如何控制矽總厚度偏差(TTV,Total Thickness Variation),第二個挑戰是如何確定已露頭的矽通孔102上沒有矽殘留。 Compared with the conventional process of achieving the through-head outcrop of the through-hole through CMP, the present invention adopts a two-step wet etching process to achieve the advantages of the back surface of the through-hole, as follows: high etching selectivity and perfection for germanium and germanium dioxide. The copper is prevented from polluting the germanium substrate 101, the cost is obviously reduced, and defects such as scratches, dents, corrosion, and the like which are inherent in the CMP are avoided. However, there are also great challenges in using the method of the present invention. The first challenge is how to control the Total Thickness Variation (TTV) during the wet etching process. The second challenge is how to determine the outcrop. There is no residue on the hole 102.

參考圖2,揭示了矽襯底濕法蝕刻的傳統方法。該傳統方法包括:在濕法蝕刻過程中順時針旋轉矽襯底101;及使用噴嘴110向矽襯底101的背面噴灑蝕刻劑111(第一蝕刻劑或第二蝕刻劑)。噴嘴110從矽襯底101的背面中心向矽襯底101的背面外邊緣水平移動。然而,這種傳統濕法蝕刻工藝具有兩大主要挑戰。 Referring to Figure 2, a conventional method of wet etching of tantalum substrates is disclosed. The conventional method includes: rotating the ruthenium substrate 101 clockwise during the wet etching; and spraying the etchant 111 (the first etchant or the second etchant) toward the back surface of the ruthenium substrate 101 using the nozzles 110. The nozzle 110 is horizontally moved from the center of the back surface of the ruthenium substrate 101 toward the outer edge of the back surface of the ruthenium substrate 101. However, this conventional wet etching process has two major challenges.

第一大挑戰是,儘管噴嘴110能夠水平越過矽襯底101的整個背面,由於從矽襯底101的背面中心向矽襯底101的背面外邊緣水平移動過程中線速度的變化,液體層厚度,液體溫度等等,矽襯底101的背面中心處矽的蝕刻速率較高,而矽襯底101的背面外邊緣處矽的蝕刻速率較低。結果,很難獲得均勻一致的矽蝕刻速率,且很難控制整個矽襯底101的TTV。第二大挑戰是,時常有矽殘留在已露頭的矽通孔102上。在矽襯底濕法蝕刻的傳統方法中,矽襯底101只在一個方向旋轉(順時針或逆時針)。以順時針旋轉為例,在矽襯底101背面流動的蝕刻劑111從矽襯底101的背面中心向矽襯底101的背面外邊緣擴散,因此,沿蝕刻劑111流動方向的蝕刻速率高於逆著蝕刻劑111流動方向的蝕刻速率。在中心區域,矽通孔102的兩側都位於逆流區。在矽襯底101背面的右半部分區域,矽通孔102的左側位於順流區,矽通孔102的右側位於逆流區。在矽襯底101背面的左半部分區域,矽通孔102的右側位於順流區,矽通孔102的左側位於逆流區。如圖2所示,將位於順流區的矽通孔102的一面定義為快速蝕刻區域,標號為108。將位於逆流區的矽通孔102的一面定義為低速蝕刻區域,標號為109。採用傳統的濕法蝕刻方法很容易在低速蝕刻區域109殘留矽。此外,當噴嘴110移動到矽襯底101背面的外邊緣上方以蝕刻低速蝕刻區域109處殘留的矽時,如果矽襯底101的旋轉速度不夠高,噴灑在矽襯底101背面上的蝕刻劑111沒有足夠的時間來 達到與矽襯底101同步轉速,從而導致蝕刻劑111直接飛出矽襯底101的背面,位於矽襯底101背面的外邊緣處的低速蝕刻區域109不能被均勻蝕刻。再則,矽襯底101通常由數個定位銷固定在卡盤裝置上,如果蝕刻劑111飛出,蝕刻劑111可能會撞擊在定位銷上,從而導致蝕刻劑111飛濺,如圖5a所示。四處飛濺的蝕刻劑111容易弄髒工藝腔室。 The first major challenge is that although the nozzle 110 can horizontally pass over the entire back surface of the ruthenium substrate 101, the thickness of the liquid layer is changed due to the linear velocity during horizontal movement from the center of the back surface of the ruthenium substrate 101 toward the outer edge of the back surface of the ruthenium substrate 101. The liquid temperature and the like, the etching rate of germanium at the center of the back surface of the germanium substrate 101 is high, and the etching rate of germanium at the outer edge of the back surface of the germanium substrate 101 is low. As a result, it is difficult to obtain a uniform ruthenium etching rate, and it is difficult to control the TTV of the entire ruthenium substrate 101. The second major challenge is that there are often flaws remaining on the outcropped through hole 102. In the conventional method of tantalum substrate wet etching, the tantalum substrate 101 is rotated only in one direction (clockwise or counterclockwise). Taking clockwise rotation as an example, the etchant 111 flowing on the back surface of the ruthenium substrate 101 is diffused from the center of the back surface of the ruthenium substrate 101 toward the outer edge of the back surface of the ruthenium substrate 101, and therefore, the etching rate in the flow direction of the etchant 111 is higher than that. The etching rate against the flow direction of the etchant 111. In the central region, both sides of the through hole 102 are located in the counterflow region. In the right half portion of the back surface of the ruthenium substrate 101, the left side of the 矽 through hole 102 is located in the downstream region, and the right side of the 矽 through hole 102 is located in the reverse flow region. In the left half portion of the back surface of the ruthenium substrate 101, the right side of the 矽 through hole 102 is located in the downstream region, and the left side of the 矽 through hole 102 is located in the reverse flow region. As shown in FIG. 2, one side of the meandering via 102 located in the downstream region is defined as a fast etched region, designated 108. One side of the through hole 102 in the counterflow region is defined as a low speed etched region, designated 109. It is easy to leave flaws in the low-speed etching region 109 by the conventional wet etching method. Further, when the nozzle 110 is moved over the outer edge of the back surface of the ruthenium substrate 101 to etch the ruthenium remaining at the low-speed etched region 109, if the rotational speed of the ruthenium substrate 101 is not sufficiently high, the etchant sprayed on the back surface of the ruthenium substrate 101 111 does not have enough time to come The synchronous rotation speed with the ruthenium substrate 101 is reached, so that the etchant 111 directly flies out of the back surface of the ruthenium substrate 101, and the low-speed etched region 109 at the outer edge of the back surface of the ruthenium substrate 101 cannot be uniformly etched. Further, the ruthenium substrate 101 is usually fixed on the chuck device by a plurality of locating pins. If the etchant 111 flies out, the etchant 111 may impinge on the locating pin, causing the etchant 111 to splash, as shown in FIG. 5a. . The etchant 111 splashing around easily smudges the process chamber.

為了克服上述挑戰,本發明提出了改進方法。 In order to overcome the above challenges, the present invention proposes an improved method.

參考圖3所示,所述改進方法包括使用噴嘴110向矽襯底101的背面噴灑蝕刻劑111(第一蝕刻劑或第二蝕刻劑)。噴嘴110從矽襯底101的背面中心向矽襯底101的背面外邊緣水平移動,且噴嘴110的水平移動速度以及加速度是可調的。例如,噴嘴110在矽襯底101的背面中心區域的上方移動的速度較快,而在矽襯底101的背面外邊緣區域的上方移動的速度較慢。此外,在濕法蝕刻過程中,允許設置噴嘴停頓位置。噴嘴110還能夠豎直移動以靠近或遠離矽襯底101的背面。由此,每一點處的蝕刻速率能夠很好的控制,從而在矽襯底101背面的每一區域均能夠得到均勻一致的矽蝕刻速率。 Referring to FIG. 3, the improved method includes spraying the etchant 111 (first etchant or second etchant) toward the back side of the ruthenium substrate 101 using the nozzles 110. The nozzle 110 is horizontally moved from the center of the back surface of the ruthenium substrate 101 toward the outer edge of the back surface of the ruthenium substrate 101, and the horizontal movement speed and acceleration of the nozzle 110 are adjustable. For example, the nozzle 110 moves faster above the central region of the back surface of the ruthenium substrate 101, and moves faster over the outer edge region of the back surface of the ruthenium substrate 101. In addition, the nozzle stall position is allowed to be set during the wet etching process. The nozzle 110 is also capable of moving vertically to approach or away from the back side of the ruthenium substrate 101. Thereby, the etching rate at each point can be well controlled, so that a uniform erbium etch rate can be obtained in each region of the back surface of the ruthenium substrate 101.

繼續參考圖3,所述改進方法還進一步包括在濕法蝕刻過程中改變噴嘴110的噴射角度。例如,當噴嘴110位於矽襯底101的背面中心的上方時,噴射角度與矽襯底101的背面垂直。當噴嘴110位於矽襯底101背面的右半部分區域的上方時,噴嘴110與矽襯底101的背面之 間的角度為銳角,也就是說噴射角度為銳角,且噴射角度的取值範圍為0-90°。當噴嘴110位於矽襯底101背面的左半部分區域的上方時,噴嘴110與矽襯底101的背面之間的角度為鈍角,也就是說噴射角度為鈍角,且噴射角度的取值範圍為90-180°。由此,殘留在低速蝕刻區域109處的矽能夠被去除。 With continued reference to FIG. 3, the improved method still further includes varying the spray angle of the nozzle 110 during the wet etching process. For example, when the nozzle 110 is positioned above the center of the back surface of the ruthenium substrate 101, the ejection angle is perpendicular to the back surface of the ruthenium substrate 101. When the nozzle 110 is located above the right half portion of the back surface of the ruthenium substrate 101, the nozzle 110 and the back surface of the ruthenium substrate 101 are The angle between the angles is an acute angle, that is, the angle of the spray is an acute angle, and the angle of the spray is in the range of 0-90. When the nozzle 110 is located above the left half portion of the back surface of the 矽 substrate 101, the angle between the nozzle 110 and the back surface of the 矽 substrate 101 is an obtuse angle, that is, the ejection angle is an obtuse angle, and the ejection angle is in the range of 90-180°. Thereby, the crucible remaining at the low-speed etching region 109 can be removed.

參考圖4a至圖4b,揭示了另一方法,該方法包括在使用第二蝕刻劑進行濕法蝕刻過程中,改變矽襯底101的旋轉方向,順時針旋轉模式和逆時針旋轉模式交替使用。參考圖4a,在濕法蝕刻工藝的開始,當蝕刻劑111在矽襯底101的背面流動時,順時針(或逆時針)旋轉矽襯底101,由於離心力和慣性的影響,液滴沿切線軌述以逆時針方向飛出矽襯底101背面的外邊緣,從而很容易導致在低速蝕刻區域109處殘留有矽。 Referring to Figures 4a through 4b, another method is disclosed that includes changing the direction of rotation of the tantalum substrate 101 during wet etching using a second etchant, alternating between a clockwise rotation mode and a counterclockwise rotation mode. Referring to FIG. 4a, at the beginning of the wet etching process, when the etchant 111 flows on the back surface of the ruthenium substrate 101, the ruthenium substrate 101 is rotated clockwise (or counterclockwise), and the droplets are tangentially due to the influence of centrifugal force and inertia. The track flies out of the outer edge of the back surface of the ruthenium substrate 101 in a counterclockwise direction, so that it is easy to cause ruthenium remaining at the low-speed etched region 109.

參考圖4b,一個可調的時間間隔後,反方向旋轉矽襯底101,蝕刻劑111在矽襯底101背面的流向由逆時針方向改變為順時針方向。低速蝕刻區域109隨著矽襯底101旋轉方向的反向而轉移。這種逆流蝕刻方法有利於去除殘留在低速蝕刻區域109處的矽。此外,為了去除位於矽襯底101背面的外邊緣的低速蝕刻區域109處殘留的矽,該方法進一步包括移動噴嘴110至矽襯底101背面的外邊緣的上方,然後旋轉矽襯底101,矽襯底101的旋轉速度高於當噴嘴110位於矽襯底101背面的中心區域上方時矽襯底101的旋轉速度。因此,蝕刻劑111有足夠的時 間去獲得與矽襯底101相同的轉速,如圖5b所示。因而位於矽襯底101背面的外邊緣的低速蝕刻區域109處殘留的矽被去除且沒有發生蝕刻劑飛濺。當噴嘴110位於矽襯底101背面的外邊緣的上方時,矽襯底101的轉速為800rpm-2000rpm。 Referring to FIG. 4b, after an adjustable time interval, the ruthenium substrate 101 is rotated in the reverse direction, and the flow direction of the etchant 111 on the back surface of the ruthenium substrate 101 is changed from the counterclockwise direction to the clockwise direction. The low-speed etched region 109 is transferred as the direction of rotation of the ruthenium substrate 101 is reversed. This counter current etching method facilitates the removal of defects remaining at the low speed etched region 109. Further, in order to remove the flaw remaining at the low-speed etched region 109 of the outer edge of the back surface of the ruthenium substrate 101, the method further includes moving the nozzle 110 over the outer edge of the back surface of the ruthenium substrate 101, and then rotating the ruthenium substrate 101, The rotational speed of the substrate 101 is higher than the rotational speed of the ruthenium substrate 101 when the nozzle 110 is positioned above the central region of the back surface of the ruthenium substrate 101. Therefore, when the etchant 111 has sufficient time The same rotational speed as that of the ruthenium substrate 101 is obtained, as shown in Fig. 5b. Thus, the remaining ruthenium at the low-speed etched region 109 of the outer edge of the back surface of the ruthenium substrate 101 is removed and etchant splattering does not occur. When the nozzle 110 is positioned above the outer edge of the back surface of the ruthenium substrate 101, the ruthenium substrate 101 has a rotational speed of 800 rpm to 2000 rpm.

參考圖1e至圖1h,矽通孔102露頭之後,為了與另一晶片連接,包覆在電介質隔離氧化物襯墊103內的銅需要露出。因此,矽通孔背面露頭的方法還進一步包括:在矽襯底101的背面沈積SiO2/Si3N4 112;在SiO2/Si3N4 112上塗覆PR 113;採用回蝕去除位於矽通孔102底部的PR 113、SiO2/Si3N4 112及電介質隔離氧化物襯墊103,銅露出;將矽襯底101背面剩餘的PR 113去除。 Referring to FIGS. 1e through 1h, after the via hole 102 is outcroshed, the copper coated in the dielectric isolation oxide liner 103 needs to be exposed for connection to another wafer. Therefore, the method of exposing the back side of the via hole further comprises: depositing SiO 2 /Si 3 N 4 112 on the back side of the germanium substrate 101; coating PR 113 on the SiO 2 /Si 3 N 4 112; removing the germanium by using etchback The PR 113, the SiO 2 /Si 3 N 4 112 and the dielectric isolation oxide liner 103 at the bottom of the via 102 are exposed, and the remaining PR 113 on the back side of the germanium substrate 101 is removed.

參考圖6和圖7,揭示了根據本發明的一實施例的矽通孔背面露頭的裝置。該裝置200包括可旋轉的卡盤裝置201,卡盤裝置201與旋轉軸(圖中未示出)連接。卡盤裝置201較佳為圓形,用來固定矽襯底203。矽襯底203具有若干矽通孔,且矽襯底203的背面已經減薄。矽襯底203的正面透過粘合層臨時固定在承載片202上。卡盤裝置201順時針方向和逆時針方向交替旋轉。卡盤裝置201具有數個穿孔207。該數個穿孔207垂直於卡盤裝置201的底表面或者與卡盤裝置201的底表面之間形成一定角度。卡盤裝置201還包括數個,例如六個定位銷206。這些定位銷206均勻分佈在卡盤裝置201頂表面的外邊緣。定位銷206用於固定矽襯底203。保護環204a設置在 卡盤裝置201頂表面的外邊緣並環繞著矽襯底203。保護環204a是可拆卸的。根據不同的要求,保護環204a可以從卡盤裝置201上拆卸下來或者安裝在卡盤裝置201上。在一個實施例中,保護環204a開設有數個,例如六個開口(圖中未示出)用於安裝定位銷206。在另一個實施例中,保護環204a被分為六段,每一段設置在相鄰兩定位銷206之間。定位銷206和保護環204a可以由塑膠製成,例如PVDF(Poly Vinylidene Fluoride)、PP(Polypropylene)、PTFE(Polytetrafluoroetylene)、PEEK(Polyetheretherketone)。 Referring to Figures 6 and 7, a device for the outcrop of a through-hole is disclosed in accordance with an embodiment of the present invention. The apparatus 200 includes a rotatable chuck device 201 that is coupled to a rotating shaft (not shown). The chuck device 201 is preferably circular for fixing the ruthenium substrate 203. The germanium substrate 203 has a plurality of via holes, and the back surface of the germanium substrate 203 has been thinned. The front surface of the ruthenium substrate 203 is temporarily fixed to the carrier sheet 202 through the adhesive layer. The chuck device 201 alternately rotates clockwise and counterclockwise. The chuck device 201 has a plurality of perforations 207. The plurality of perforations 207 are perpendicular to the bottom surface of the chuck device 201 or form an angle with the bottom surface of the chuck device 201. The chuck device 201 also includes a plurality of, for example, six positioning pins 206. These locating pins 206 are evenly distributed over the outer edge of the top surface of the chuck device 201. The positioning pin 206 is used to fix the ruthenium substrate 203. The guard ring 204a is disposed at The outer edge of the top surface of the chuck device 201 surrounds the ruthenium substrate 203. The guard ring 204a is detachable. Depending on the requirements, the guard ring 204a can be detached from the chuck device 201 or mounted on the chuck device 201. In one embodiment, the guard ring 204a is provided with a plurality of, for example six, openings (not shown) for mounting the locating pins 206. In another embodiment, the guard ring 204a is divided into six segments, each segment being disposed between two adjacent locating pins 206. The positioning pin 206 and the guard ring 204a may be made of plastic, such as PVDF (Poly Vinylidene Fluoride), PP (Polypropylene), PTFE (Polytetrafluoroetylene), PEEK (Polyetheretherketone).

至少一個噴嘴205位於卡盤裝置201的上方,用於向矽襯底203的背面噴灑蝕刻劑111。蝕刻劑111可以是對矽和二氧化矽具有低蝕刻選擇比的第一蝕刻劑,例如HN(HF/HNO3基)的溶液,用於大部分矽蝕刻。蝕刻劑111還可以是對矽和二氧化矽具有高蝕刻選擇比的第二蝕刻劑,例如TMAH-、KOH-或EDP-的溶液,用於精細和精確的矽腐蝕。蝕刻劑111還可以是去離子水,用於沖洗矽襯底203的背面。蝕刻劑111還可以是異丙醇,用於乾燥矽襯底203的背面。蝕刻劑111還可以是熱的或室溫的氮氣,用於乾燥矽襯底203的背面。噴嘴205從矽襯底203的背面中心向矽襯底203的背面外邊緣水平移動。噴嘴205水平移動的速度和加速度是可調的。在濕法蝕刻過程中允許設置噴嘴停頓位置。此外,噴嘴205豎直移動以靠近或遠離矽襯底203的背面。在濕法蝕刻過程中,可以改變噴嘴 205的噴射角度。 At least one nozzle 205 is located above the chuck device 201 for spraying the etchant 111 toward the back surface of the ruthenium substrate 203. The etchant 111 may be a first etchant having a low etch selectivity to ruthenium and ruthenium dioxide, such as a solution of HN (HF/HNO 3 based), for most ruthenium etching. The etchant 111 may also be a second etchant having a high etch selectivity to ruthenium and ruthenium dioxide, such as a solution of TMAH-, KOH- or EDP-, for fine and precise ruthenium corrosion. The etchant 111 may also be deionized water for rinsing the back side of the ruthenium substrate 203. The etchant 111 may also be isopropyl alcohol for drying the back side of the ruthenium substrate 203. The etchant 111 may also be hot or room temperature nitrogen for drying the back side of the ruthenium substrate 203. The nozzle 205 is horizontally moved from the center of the back surface of the 矽 substrate 203 toward the outer edge of the back surface of the ruthenium substrate 203. The speed and acceleration of the horizontal movement of the nozzle 205 is adjustable. The nozzle stall position is allowed to be set during the wet etching process. Further, the nozzle 205 is moved vertically to approach or away from the back surface of the ruthenium substrate 203. The spray angle of the nozzle 205 can be varied during the wet etching process.

為了阻止蝕刻劑111蝕刻承載片202,進而蝕刻矽襯底203的正面,保護氣體208,例如氮氣,透過穿孔207供應至承載片202的表面,保護環204a用於使保護氣體208的氣流成形,進而保護承載片202免受化學蝕刻。如果保護氣體208用於保護承載片202免受化學蝕刻,那麽卡盤裝置201較佳地為伯努利卡盤。 In order to prevent the etchant 111 from etching the carrier sheet 202, thereby etching the front surface of the ruthenium substrate 203, a shielding gas 208, such as nitrogen, is supplied to the surface of the carrier sheet 202 through the through holes 207, and the guard ring 204a is used to shape the airflow of the shielding gas 208. The carrier sheet 202 is then protected from chemical etching. If the shielding gas 208 is used to protect the carrier sheet 202 from chemical etching, the chuck device 201 is preferably a Bernoulli chuck.

參考圖8至圖10,圖8揭示了保護環204a固定在卡盤裝置201上,且保護環204a的內壁是豎直平面。保護氣體208穿過穿孔207之後,保護氣體208的氣流沿著保護環204a的內壁嫋嫋上升,然後,保護氣體208從承載片202的邊緣向外擴散。透過這種方式,形成氣墊且氣墊被限制在一成形的區域以保護承載片202免受化學蝕刻。 Referring to Figures 8 through 10, Figure 8 discloses that the guard ring 204a is secured to the chuck device 201 and the inner wall of the guard ring 204a is a vertical plane. After the shielding gas 208 passes through the perforations 207, the gas stream of the shielding gas 208 rises along the inner wall of the guard ring 204a, and then the shielding gas 208 diffuses outward from the edge of the carrier sheet 202. In this manner, an air cushion is formed and the air cushion is confined to a shaped area to protect the carrier sheet 202 from chemical etching.

圖9揭示了另一矽通孔背面露頭的裝置。與裝置200相比,其區別在於保護環。在本實施例的裝置中,保護環204b固定在卡盤裝置201上,且保護環204b的內壁是不規則的平面。保護氣體208穿過穿孔207之後,保護氣體208的氣流沿著保護環204b的內壁嫋嫋上升,然後,保護氣體208從承載片202的邊緣向外擴散。透過這種方式,形成氣墊且氣墊被限制在一成形的區域以保護承載片202免受化學蝕刻。 Figure 9 illustrates another device for the outcrop of the through hole. Compared to the device 200, the difference is in the guard ring. In the apparatus of the present embodiment, the guard ring 204b is fixed to the chuck device 201, and the inner wall of the guard ring 204b is an irregular plane. After the shielding gas 208 passes through the perforations 207, the gas stream of the shielding gas 208 rises along the inner wall of the guard ring 204b, and then the shielding gas 208 diffuses outward from the edge of the carrier sheet 202. In this manner, an air cushion is formed and the air cushion is confined to a shaped area to protect the carrier sheet 202 from chemical etching.

圖10揭示了又一矽通孔背面露頭的裝置。與裝置200相比,其區別在於保護環。在本實施例的裝置中, 保護環204c與卡盤裝置201分離,因此,保護環204c與卡盤裝置201之間形成有間隙。保護環204c由數個支柱支撐,該數個支柱與卡盤裝置201連接。保護氣體208的氣流被分成兩部分。一部分保護氣體208穿過穿孔207之後,保護氣體208的氣流沿著保護環204c的內壁嫋嫋上升,然後,保護氣體208從承載片202的邊緣向外擴散。另一部分保護氣體208從保護環204c與卡盤裝置201之間的間隙流出卡盤裝置201。透過這種方式,形成氣墊且氣墊被限制在一成形的區域以保護承載片202免受化學蝕刻。 Figure 10 illustrates another device for the outcrop of the through hole. Compared to the device 200, the difference is in the guard ring. In the device of this embodiment, The guard ring 204c is separated from the chuck device 201, and therefore, a gap is formed between the guard ring 204c and the chuck device 201. The guard ring 204c is supported by a plurality of struts that are coupled to the chuck device 201. The gas flow of the shielding gas 208 is divided into two parts. After a portion of the shielding gas 208 passes through the perforations 207, the gas stream of the shielding gas 208 rises along the inner wall of the guard ring 204c, and then the shielding gas 208 diffuses outward from the edge of the carrier sheet 202. Another portion of the shielding gas 208 flows out of the chuck device 201 from the gap between the guard ring 204c and the chuck device 201. In this manner, an air cushion is formed and the air cushion is confined to a shaped area to protect the carrier sheet 202 from chemical etching.

除了保護氣體208,保護液體,例如去離子水,也可以用來保護承載片202免受化學蝕刻。如果選擇保護液體,保護環204a/204b/204c需要從裝置上移走,卡盤裝置201可以選用普通的卡盤。保護液體透過穿孔207供應至承載片202的表面,從而保護承載片202免受化學蝕刻。 In addition to the shielding gas 208, a protective liquid, such as deionized water, can also be used to protect the carrier sheet 202 from chemical etching. If the protective liquid is selected, the guard ring 204a/204b/204c needs to be removed from the device, and the chuck device 201 can be a conventional chuck. The protective liquid is supplied to the surface of the carrier sheet 202 through the perforations 207, thereby protecting the carrier sheet 202 from chemical etching.

綜上所述,根據一代表性實施例的矽通孔背面露頭的裝置,一矽通孔背面露頭的方法包括如下步驟: In summary, according to a representative embodiment of the device for the back surface of the through hole, the method for the back surface of the through hole includes the following steps:

步驟1:在工藝之前測量矽襯底203的厚度,且電介質隔離氧化物襯墊至矽襯底203背面的表面之間的厚度是已知的。第一蝕刻劑和第二蝕刻劑蝕刻矽的速率可以從大量的試驗中選取經驗值來獲得。因此,工藝時間能夠計算出來。需要特別指出的是矽襯底203已具有若干矽通孔且已完成標準完整的BEOL金屬化處理。 Step 1: The thickness of the tantalum substrate 203 is measured prior to the process, and the thickness between the dielectric isolation oxide liner to the surface of the back surface of the tantalum substrate 203 is known. The rate at which the first etchant and the second etchant etch enthalpy can be obtained by selecting empirical values from a large number of experiments. Therefore, the process time can be calculated. It is important to note that the ruthenium substrate 203 already has a number of ruthenium vias and a standard full BEOL metallization process has been completed.

步驟2:將矽襯底203正面向下的固定在卡盤裝置201 上。 Step 2: Fixing the ruthenium substrate 203 face down to the chuck device 201 on.

步驟3:旋轉卡盤裝置201,卡盤裝置201的轉速為10至1500rpm。 Step 3: Rotating the chuck device 201, the chuck device 201 has a rotational speed of 10 to 1500 rpm.

步驟4:透過噴嘴205向矽襯底203的背面供應去離子水以預浸潤矽襯底203的背面,同時,從穿孔207處向承載片202供應保護液體以阻止供應至矽襯底203背面的液體流到承載片202上。 Step 4: Deionized water is supplied to the back surface of the ruthenium substrate 203 through the nozzle 205 to pre-wet the back surface of the ruthenium substrate 203, while the protective liquid is supplied from the through hole 207 to the carrier sheet 202 to prevent supply to the back surface of the ruthenium substrate 203. The liquid flows onto the carrier sheet 202.

步驟5:停止向矽襯底203的背面供應去離子水。 Step 5: The supply of deionized water to the back surface of the ruthenium substrate 203 is stopped.

步驟6:透過噴嘴205向矽襯底203的背面供應第一蝕刻劑例如HN(HF/HNO3基)的溶液,第一蝕刻劑的流速為0.5LPM-5LPM,較佳為0.8LPM-2LPM。HNO3和HF的比例為1:1至20:1。溶液的溫度為20℃至45℃。工藝時間由蝕刻速率決定。在步驟6中,噴嘴205既從矽襯底203的背面中心向矽襯底203的背面外邊緣水平移動,又豎直移動以靠近或遠離矽襯底203的背面。對於水平方向的移動,噴嘴205在矽襯底203背面的中心區域的上方高速移動,而在矽襯底203背面的外邊緣區域的上方低速移動。對於豎直方向的移動,噴嘴205與矽襯底203的背面之間的移動距離在0.5cm至10cm之間。在矽襯底203的背面設置數個噴嘴停頓位置。本步驟用於去除矽通孔底部的矽,但是矽通孔還沒有露出,這是由於第一蝕刻劑也能蝕刻電介 質隔離氧化物襯墊。較佳地,使用第一蝕刻劑蝕刻之後,乾燥矽襯底203,然後測量矽襯底203的厚度以獲得下一步的工藝時間。 Step 6: A solution of a first etchant such as HN (HF/HNO 3 based) is supplied through the nozzle 205 to the back side of the ruthenium substrate 203. The flow rate of the first etchant is 0.5 LPM-5 LPM, preferably 0.8 LPM-2 LPM. The ratio of HNO 3 to HF is 1:1 to 20:1. The temperature of the solution is from 20 ° C to 45 ° C. The process time is determined by the etch rate. In step 6, the nozzle 205 moves horizontally from the center of the back surface of the ruthenium substrate 203 toward the outer edge of the back surface of the ruthenium substrate 203, and moves vertically to approach or away from the back surface of the ruthenium substrate 203. For the horizontal movement, the nozzle 205 moves at a high speed above the central region of the back surface of the ruthenium substrate 203, and moves at a low speed above the outer edge region of the back surface of the ruthenium substrate 203. For the movement in the vertical direction, the moving distance between the nozzle 205 and the back surface of the ruthenium substrate 203 is between 0.5 cm and 10 cm. A plurality of nozzle pause positions are provided on the back surface of the ruthenium substrate 203. This step is used to remove the germanium at the bottom of the via, but the via is not yet exposed because the first etchant can also etch the dielectric isolation oxide liner. Preferably, after etching using the first etchant, the germanium substrate 203 is dried, and then the thickness of the germanium substrate 203 is measured to obtain the next process time.

步驟7:透過噴嘴205向矽襯底203的背面供應第二蝕刻劑例如TMAH的溶液,第二蝕刻劑的流速為0.3LPM-3LPM,較佳為0.5LPM-2LPM。TMAH的濃度為2%至25%。溶液的溫度為25℃至90℃。工藝時間由蝕刻速率決定。在本步驟中,在設定的時間間隔內,使矽襯底203的旋轉方向反向。時間間隔為5s至60s。 Step 7: A solution of a second etchant such as TMAH is supplied to the back surface of the ruthenium substrate 203 through the nozzle 205, and the flow rate of the second etchant is 0.3 LPM-3 LPM, preferably 0.5 LPM-2 LPM. The concentration of TMAH is from 2% to 25%. The temperature of the solution is from 25 ° C to 90 ° C. The process time is determined by the etch rate. In this step, the direction of rotation of the ruthenium substrate 203 is reversed during the set time interval. The time interval is 5s to 60s.

在步驟7中,噴嘴205既從矽襯底203的背面中心向矽襯底203的背面外邊緣水平移動,又豎直移動以靠近或遠離矽襯底203的背面。對於水平方向的移動,噴嘴205在矽襯底203背面的中心區域的上方高速移動,而在矽襯底203背面的外邊緣區域的上方低速移動。對於豎直方向的移動,噴嘴205與矽襯底203的背面之間的移動距離在0.5cm至10cm之間。在矽襯底203的背面設置數個噴嘴停頓位置。在濕法蝕刻過程中,噴嘴205的噴射角度改變。當噴嘴205位於矽襯底203的背面中心的上方時,噴嘴205的噴射角度與矽襯底203的背面垂直。當噴嘴205位於矽襯底203背面的右半部分區域的上方時,噴嘴205的噴射角度與矽襯底203的背面之間呈銳角,且噴射角度的取值 範圍為0-90°。當噴嘴205位於矽襯底203背面的左半部分區域的上方時,噴嘴205的噴射角度與矽襯底203的背面之間呈鈍角,且噴射角度的取值範圍為90-180°。當噴嘴205移動至矽襯底203背面的外邊緣的上方時,卡盤裝置201的旋轉速度高於當噴嘴205移動至矽襯底203背面的中心區域的上方時的卡盤裝置201的旋轉速度,因此,蝕刻劑有足夠的時間去獲得與矽襯底203同步轉速,從而位於矽襯底203背面的外邊緣的低速蝕刻區域處殘留的矽被去除且沒有發生蝕刻劑飛濺。當噴嘴205位於矽襯底203背面的外邊緣的上方時,卡盤裝置201的轉速可高達2000rpm。 In step 7, the nozzle 205 moves horizontally from the center of the back surface of the ruthenium substrate 203 toward the outer edge of the back surface of the ruthenium substrate 203, and moves vertically to approach or away from the back surface of the ruthenium substrate 203. For the horizontal movement, the nozzle 205 moves at a high speed above the central region of the back surface of the ruthenium substrate 203, and moves at a low speed above the outer edge region of the back surface of the ruthenium substrate 203. For the movement in the vertical direction, the moving distance between the nozzle 205 and the back surface of the ruthenium substrate 203 is between 0.5 cm and 10 cm. A plurality of nozzle pause positions are provided on the back surface of the ruthenium substrate 203. The spray angle of the nozzle 205 changes during the wet etching process. When the nozzle 205 is positioned above the center of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is perpendicular to the back surface of the ruthenium substrate 203. When the nozzle 205 is positioned above the right half portion of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is at an acute angle with the back surface of the ruthenium substrate 203, and the value of the ejection angle is obtained. The range is 0-90°. When the nozzle 205 is positioned above the left half portion of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is at an obtuse angle with the back surface of the ruthenium substrate 203, and the ejection angle is in the range of 90-180. When the nozzle 205 is moved over the outer edge of the back surface of the cymbal substrate 203, the rotational speed of the chuck device 201 is higher than the rotational speed of the chuck device 201 when the nozzle 205 is moved over the central region of the back surface of the cymbal substrate 203 Therefore, the etchant has sufficient time to obtain the synchronous rotation speed with the ruthenium substrate 203, so that the remaining ruthenium at the low-speed etched region of the outer edge of the back surface of the ruthenium substrate 203 is removed without etchant splattering. When the nozzle 205 is positioned above the outer edge of the back surface of the ruthenium substrate 203, the rotational speed of the chuck device 201 can be as high as 2000 rpm.

步驟8:透過噴嘴205向矽襯底203的背面供應去離子水以沖洗矽襯底203的背面。工藝時間為10至60s。 Step 8: Deionized water is supplied to the back surface of the ruthenium substrate 203 through the nozzle 205 to rinse the back surface of the ruthenium substrate 203. The process time is 10 to 60 s.

步驟9:停止向矽襯底203的背面供應去離子水,並停止向承載片202供應保護液體。 Step 9: The supply of deionized water to the back surface of the ruthenium substrate 203 is stopped, and the supply of the protective liquid to the carrier sheet 202 is stopped.

步驟10:以預設的轉速旋轉卡盤裝置201,該預設轉速為1000至3000rpm。 Step 10: Rotate the chuck device 201 at a preset rotational speed of 1000 to 3000 rpm.

步驟11:供應氣體或蒸汽乾燥矽襯底203,氣體或蒸汽的流速為1slm-10slm,較佳為4slm-6slm。工藝時間為10-60s。 Step 11: Supplying a gas or steam to dry the crucible substrate 203, the gas or vapor having a flow rate of 1 slm to 10 slm, preferably 4 slm to 6 slm. The process time is 10-60 s.

步驟12:測量矽襯底203的厚度,以確保單片矽襯底 203的蝕刻速率是均勻一致的。 Step 12: Measure the thickness of the germanium substrate 203 to ensure a monolithic germanium substrate The etch rate of 203 is uniform.

根據另一代表性實施例的矽通孔背面露頭的裝置,另一矽通孔背面露頭的方法包括如下步驟: According to another representative embodiment of the apparatus for the back side of the through hole, the method of the other side of the through hole has the following steps:

步驟1:在工藝之前測量矽襯底203的厚度,且電介質隔離氧化物襯墊至矽襯底203背面的表面之間的厚度是已知的。第一蝕刻劑和第二蝕刻劑蝕刻矽的速率可以從大量的試驗中選取經驗值來獲得。因此,工藝時間能夠計算出來。需要特別指出的是矽襯底203已具有若干矽通孔且已完成標準完整的BEOL金屬化處理。 Step 1: The thickness of the tantalum substrate 203 is measured prior to the process, and the thickness between the dielectric isolation oxide liner to the surface of the back surface of the tantalum substrate 203 is known. The rate at which the first etchant and the second etchant etch enthalpy can be obtained by selecting empirical values from a large number of experiments. Therefore, the process time can be calculated. It is important to note that the ruthenium substrate 203 already has a number of ruthenium vias and a standard full BEOL metallization process has been completed.

步驟2:將矽襯底203正面向下的固定在卡盤裝置201上。 Step 2: The ruthenium substrate 203 is fixed face down on the chuck device 201.

步驟3:透過穿孔207向承載片202供應保護氣體208,從而形成氣墊以阻止供應至矽襯底203背面的液體流到承載片202上。 Step 3: The protective gas 208 is supplied to the carrier sheet 202 through the through holes 207, thereby forming an air cushion to prevent the liquid supplied to the back surface of the ruthenium substrate 203 from flowing onto the carrier sheet 202.

步驟4:旋轉卡盤裝置201,卡盤裝置201的轉速為10至1500rpm。 Step 4: Rotating the chuck device 201, the chuck device 201 has a rotational speed of 10 to 1500 rpm.

步驟5:透過噴嘴205向矽襯底203的背面供應去離子水以預浸潤矽襯底203的背面。工藝時間為1-20s。 Step 5: Deionized water is supplied to the back surface of the ruthenium substrate 203 through the nozzle 205 to pre-wet the back surface of the ruthenium substrate 203. The process time is 1-20 s.

步驟6:透過噴嘴205向矽襯底203的背面供應第一蝕刻劑例如HN(HF/HNO3基)的溶液,第一蝕刻劑的流速為0.5LPM-5LPM,較佳為0.8LPM-2LPM。HNO3和HF的比 例為1:1至20:1。溶液的溫度為20℃至45℃。工藝時間由蝕刻速率決定。在步驟6中,噴嘴205既從矽襯底203的背面中心向矽襯底203的背面外邊緣水平移動,又豎直移動以靠近或遠離矽襯底203的背面。對於水平方向的移動,噴嘴205在矽襯底203背面的中心區域的上方高速移動,而在矽襯底203背面的外邊緣區域的上方低速移動。對於豎直方向的移動,噴嘴205與矽襯底203的背面之間的移動距離在0.5cm至10cm之間。在矽襯底203的背面設置數個噴嘴停頓位置。本步驟用於去除矽通孔底部的矽,但是矽通孔還沒有露出,這是由於第一蝕刻劑也能蝕刻電介質隔離氧化物襯墊。較佳地,使用第一蝕刻劑蝕刻之後,乾燥矽襯底203,然後測量矽襯底203的厚度以獲得下一步的工藝時間。 Step 6: A solution of a first etchant such as HN (HF/HNO 3 based) is supplied through the nozzle 205 to the back side of the ruthenium substrate 203. The flow rate of the first etchant is 0.5 LPM-5 LPM, preferably 0.8 LPM-2 LPM. The ratio of HNO 3 to HF is 1:1 to 20:1. The temperature of the solution is from 20 ° C to 45 ° C. The process time is determined by the etch rate. In step 6, the nozzle 205 moves horizontally from the center of the back surface of the ruthenium substrate 203 toward the outer edge of the back surface of the ruthenium substrate 203, and moves vertically to approach or away from the back surface of the ruthenium substrate 203. For the horizontal movement, the nozzle 205 moves at a high speed above the central region of the back surface of the ruthenium substrate 203, and moves at a low speed above the outer edge region of the back surface of the ruthenium substrate 203. For the movement in the vertical direction, the moving distance between the nozzle 205 and the back surface of the ruthenium substrate 203 is between 0.5 cm and 10 cm. A plurality of nozzle pause positions are provided on the back surface of the ruthenium substrate 203. This step is used to remove the germanium at the bottom of the via, but the via is not yet exposed because the first etchant can also etch the dielectric isolation oxide liner. Preferably, after etching using the first etchant, the germanium substrate 203 is dried, and then the thickness of the germanium substrate 203 is measured to obtain the next process time.

步驟7:透過噴嘴205向矽襯底203的背面供應第二蝕刻劑例如TMAH的溶液,第二蝕刻劑的流速為0.3LPM-3LPM,較佳為0.5LPM-2LPM。TMAH的濃度為2%至25%。溶液的溫度為25℃至90℃。工藝時間由蝕刻速率決定。在本步驟中,在設定的時間間隔內,使矽襯底203的旋轉方向反向。時間間隔為5s至60s。 Step 7: A solution of a second etchant such as TMAH is supplied to the back surface of the ruthenium substrate 203 through the nozzle 205, and the flow rate of the second etchant is 0.3 LPM-3 LPM, preferably 0.5 LPM-2 LPM. The concentration of TMAH is from 2% to 25%. The temperature of the solution is from 25 ° C to 90 ° C. The process time is determined by the etch rate. In this step, the direction of rotation of the ruthenium substrate 203 is reversed during the set time interval. The time interval is 5s to 60s.

在步驟7中,噴嘴205既從矽襯底203的背面中心向矽襯底203的背面外邊緣水平移動,又豎直移動以靠近或 遠離矽襯底203的背面。對於水平方向的移動,噴嘴205在矽襯底203背面的中心區域的上方高速移動,而在矽襯底203背面的外邊緣區域的上方低速移動。對於豎直方向的移動,噴嘴205與矽襯底203的背面之間的移動距離在0.5cm至10cm之間。在濕法蝕刻過程中,噴嘴205的噴射角度改變。當噴嘴205位於矽襯底203的背面中心的上方時,噴嘴205的噴射角度與矽襯底203的背面垂直。當噴嘴205位於矽襯底203背面的右半部分區域的上方時,噴嘴205的噴射角度與矽襯底203的背面之間呈銳角,且噴射角度的取值範圍為0-90°。當噴嘴205位於矽襯底203背面的左半部分區域的上方時,噴嘴205的噴射角度與矽襯底203的背面之間呈鈍角,且噴射角度的取值範圍為90-180°。當噴嘴205移動至矽襯底203背面的外邊緣的上方時,卡盤裝置201的旋轉速度高於當噴嘴205移動至矽襯底203背面的中心區域的上方時的卡盤裝置201的旋轉速度,因此,蝕刻劑有足夠的時間去獲得與矽襯底203同步轉速,從而位於矽襯底203背面的外邊緣的低速蝕刻區域處殘留的矽被去除且沒有發生蝕刻劑飛濺。當噴嘴205位於矽襯底203背面的外邊緣的上方時,卡盤裝置201的轉速可高達2000rpm。 In step 7, the nozzle 205 moves horizontally from the center of the back surface of the 矽 substrate 203 toward the outer edge of the back surface of the 矽 substrate 203, and moves vertically to approach or Keep away from the back side of the ruthenium substrate 203 For the horizontal movement, the nozzle 205 moves at a high speed above the central region of the back surface of the ruthenium substrate 203, and moves at a low speed above the outer edge region of the back surface of the ruthenium substrate 203. For the movement in the vertical direction, the moving distance between the nozzle 205 and the back surface of the ruthenium substrate 203 is between 0.5 cm and 10 cm. The spray angle of the nozzle 205 changes during the wet etching process. When the nozzle 205 is positioned above the center of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is perpendicular to the back surface of the ruthenium substrate 203. When the nozzle 205 is positioned above the right half portion of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is at an acute angle with the back surface of the ruthenium substrate 203, and the ejection angle is in the range of 0-90. When the nozzle 205 is positioned above the left half portion of the back surface of the ruthenium substrate 203, the ejection angle of the nozzle 205 is at an obtuse angle with the back surface of the ruthenium substrate 203, and the ejection angle is in the range of 90-180. When the nozzle 205 is moved over the outer edge of the back surface of the cymbal substrate 203, the rotational speed of the chuck device 201 is higher than the rotational speed of the chuck device 201 when the nozzle 205 is moved over the central region of the back surface of the cymbal substrate 203 Therefore, the etchant has sufficient time to obtain the synchronous rotation speed with the ruthenium substrate 203, so that the remaining ruthenium at the low-speed etched region of the outer edge of the back surface of the ruthenium substrate 203 is removed without etchant splattering. When the nozzle 205 is positioned above the outer edge of the back surface of the ruthenium substrate 203, the rotational speed of the chuck device 201 can be as high as 2000 rpm.

步驟8:透過噴嘴205向矽襯底203的背面供應去離 子水以沖洗矽襯底203的背面。工藝時間為10至60s。 Step 8: supplying the back surface of the ruthenium substrate 203 through the nozzle 205 The water is washed to rinse the back surface of the substrate 203. The process time is 10 to 60 s.

步驟9:停止向矽襯底203的背面供應去離子水。 Step 9: Stop supplying deionized water to the back surface of the ruthenium substrate 203.

步驟10:以預設的轉速旋轉卡盤裝置201,該預設轉速為1000至3000rpm。 Step 10: Rotate the chuck device 201 at a preset rotational speed of 1000 to 3000 rpm.

步驟11:供應氣體或蒸汽乾燥矽襯底203,氣體或蒸汽的流速為1slm-10slm,較佳為4slm-6slm。工藝時間為10-60s。 Step 11: Supplying a gas or steam to dry the crucible substrate 203, the gas or vapor having a flow rate of 1 slm to 10 slm, preferably 4 slm to 6 slm. The process time is 10-60 s.

步驟12:停止向承載片202供應保護氣體208。 Step 12: Stop supplying the shielding gas 202 to the carrier sheet 202.

步驟13:測量矽襯底203的厚度,以確保單片矽襯底203的蝕刻速率是均勻一致的。 Step 13: The thickness of the tantalum substrate 203 is measured to ensure that the etching rate of the monolithic tantalum substrate 203 is uniform.

綜上所述,本發明透過上述實施方式及相關圖式說明,己具體、詳實的揭露了相關技術,使本領域的技術人員可以據以實施。而以上所述實施例只是用來說明本發明,而不是用來限制本發明的,本發明的權利範圍,應由本發明的申請專利範圍來界定。至於本文中所述元件數目的改變或等效元件的代替等仍都應屬於本發明的權利範圍。 In view of the above, the present invention has been specifically and specifically disclosed by the above-described embodiments and related drawings, and can be implemented by those skilled in the art. The above-mentioned embodiments are only intended to illustrate the invention, and are not intended to limit the invention. The scope of the invention should be defined by the scope of the invention. Changes in the number of elements described herein or substitution of equivalent elements are still within the scope of the invention.

101‧‧‧矽襯底 101‧‧‧矽 substrate

102‧‧‧矽通孔 102‧‧‧矽through hole

103‧‧‧電介質隔離氧化襯墊 103‧‧‧Dielectric isolation oxide liner

104‧‧‧器件 104‧‧‧Device

105‧‧‧粘合層 105‧‧‧Adhesive layer

106‧‧‧承載片 106‧‧‧Carrier

108‧‧‧快速蝕刻區域 108‧‧‧Quick etched area

109‧‧‧低速蝕刻區域 109‧‧‧Low speed etching area

110‧‧‧噴嘴 110‧‧‧Nozzles

111‧‧‧蝕刻劑 111‧‧‧ etchant

Claims (34)

一種矽通孔背面露頭的方法,其特徵在於,包括:提供矽襯底,矽襯底內形成有若干矽通孔;旋轉矽襯底並向矽襯底的背面噴灑第一蝕刻劑以蝕刻矽襯底的背面,在矽通孔從矽襯底的背面露出之前停止蝕刻;旋轉矽襯底並向矽襯底的背面噴灑第二蝕刻劑以蝕刻矽襯底的背面直到矽通孔從矽襯底的背面露出,在向矽襯底的背面噴灑第二蝕刻劑期間,在設定的時間間隔內,使矽襯底的旋轉方向反向。 A method for forming a back surface of a through hole, comprising: providing a germanium substrate, wherein a plurality of germanium via holes are formed in the germanium substrate; rotating the germanium substrate and spraying a first etchant on the back surface of the germanium substrate to etch the germanium The back side of the substrate is stopped before the germanium via hole is exposed from the back surface of the germanium substrate; the germanium substrate is rotated and a second etchant is sprayed toward the back side of the germanium substrate to etch the back surface of the germanium substrate until the via hole is lining The back side of the bottom is exposed, and during the set time interval, the direction of rotation of the ruthenium substrate is reversed during the spraying of the second etchant onto the back side of the ruthenium substrate. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,所述第一蝕刻劑是一種強酸性物質,且對矽和二氧化矽具有低的蝕刻選擇比。 The method of claim 1, wherein the first etchant is a strongly acidic substance and has a low etching selectivity for tantalum and niobium dioxide. 根據請求項2所述的矽通孔背面露頭的方法,其特徵在於,所述強酸性物質是HN(HF/HNO3基)的溶液。 A method according to claim 2, wherein the strongly acidic substance is a solution of HN (HF/HNO 3 group). 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,所述第二蝕刻劑是一種強鹼性物質,且對矽和二氧化矽具有高的蝕刻選擇比。 The method of claim 1, wherein the second etchant is a strongly alkaline substance and has a high etching selectivity ratio for bismuth and cerium oxide. 根據請求項4所述的矽通孔背面露頭的方法,其特徵在於,所述強鹼性物質是TMAH-、KOH-或EDP-的溶液。 The method of claim 5, wherein the strongly alkaline substance is a solution of TMAH-, KOH- or EDP-. 根據請求項1所述的矽通孔背面露頭的方法,其特徵 在於,所述在設定的時間間隔內,使矽襯底的旋轉方向反向進一步包括:在設定的時間間隔內,順時針方向和逆時針方向交替的旋轉矽襯底。 According to the method of claim 1, the method of the back surface of the through hole is characterized by The reversing the direction of rotation of the germanium substrate during the set time interval further comprises rotating the germanium substrate alternately in a clockwise direction and a counterclockwise direction within a set time interval. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,所述第一蝕刻劑和第二蝕刻劑分別透過一噴嘴噴灑在矽襯底的背面。 The method of claim 1, wherein the first etchant and the second etchant are sprayed through a nozzle on a back surface of the ruthenium substrate, respectively. 根據請求項7所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:在蝕刻劑蝕刻矽襯底背面的過程中,噴嘴從矽襯底的背面中心向矽襯底的背面外邊緣水平移動。 The method of claim 5, wherein the method further comprises: in the process of etching the back surface of the substrate by the etchant, the nozzle is from the center of the back surface of the substrate to the outer edge of the back surface of the substrate. Move horizontally. 根據請求項8所述的矽通孔背面露頭的方法,其特徵在於,噴嘴在矽襯底背面的中心區域的上方移動的速度高於在矽襯底背面的外邊緣區域的上方移動的速度。 A method of exposing the back side of a through hole according to claim 8, wherein the speed at which the nozzle moves over the central portion of the back surface of the ruthenium substrate is higher than the speed at which the outer edge portion of the back surface of the ruthenium substrate moves. 根據請求項8所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:在噴嘴從矽襯底的背面中心向矽襯底的背面外邊緣水平移動過程中,設置數個噴嘴停頓位置。 The method of claim 5, wherein the method further comprises: setting a plurality of nozzle pause positions during horizontal movement of the nozzle from the center of the back surface of the substrate to the outer edge of the back surface of the substrate; . 根據請求項7所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:豎直移動噴嘴以靠近或遠離矽襯底的背面。 A method of exposing the back side of a through hole according to claim 7, further comprising: moving the nozzle vertically to approach or away from the back surface of the base substrate. 根據請求項11所述的矽通孔背面露頭的方法,其特 徵在於,噴嘴與矽襯底的背面之間的豎直移動距離在0.5cm至10cm之間。 According to the method of claim 11, the method of the back surface of the through hole is characterized by The difference is that the vertical movement distance between the nozzle and the back surface of the crucible substrate is between 0.5 cm and 10 cm. 根據請求項7所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:在向矽襯底的背面噴灑第二蝕刻劑期間,根據噴嘴位於矽襯底背面的不同區域來改變噴嘴的噴射角度。 The method of claim 5, wherein the method further comprises: changing a nozzle according to a different region of the back surface of the ruthenium substrate during spraying of the second etchant toward the back surface of the ruthenium substrate Spray angle. 根據請求項7所述的矽通孔背面露頭的方法,其特徵在於,旋轉矽襯底並向矽襯底的背面噴灑第二蝕刻劑進一步包括:將噴嘴移動至矽襯底背面的外邊緣的上方,以一定轉速旋轉矽襯底,該轉速高於噴嘴移動至矽襯底背面的中心區域的上方時矽襯底的旋轉速度,以使第二蝕刻劑獲得與矽襯底同步轉速。 A method of claiming a back surface of a through-hole according to claim 7, wherein the rotating the substrate and spraying the second etchant toward the back surface of the ruthenium substrate further comprises: moving the nozzle to an outer edge of the back surface of the ruthenium substrate Above, the crucible substrate is rotated at a rotation speed higher than the rotation speed of the crucible substrate when the nozzle is moved above the central region of the back surface of the crucible substrate, so that the second etchant obtains a synchronous rotation speed with the crucible substrate. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:向矽襯底的正面供應保護氣體或保護液體以避免矽襯底的正面被蝕刻。 A method of claiming a back surface of a through hole according to claim 1, further comprising: supplying a shielding gas or a protective liquid to a front surface of the ruthenium substrate to prevent the front surface of the ruthenium substrate from being etched. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:在向矽襯底的背面噴灑第一蝕刻劑之前,向矽襯底的背面供應去離子水以預浸潤矽襯底的背面。 The method of claim 1, wherein the method further comprises: supplying deionized water to the back surface of the ruthenium substrate to pre-wet before spraying the first etchant toward the back surface of the ruthenium substrate. The back side of the substrate. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:在向矽襯底的背面噴灑第二蝕刻劑進 行蝕刻之後,向矽襯底的背面供應去離子水以沖洗矽襯底的背面。 The method of claim 1, wherein the method further comprises: spraying a second etchant into the back side of the ruthenium substrate After the row etching, deionized water was supplied to the back surface of the tantalum substrate to rinse the back surface of the tantalum substrate. 根據請求項17所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:向矽襯底的背面供應去離子水以沖洗矽襯底的背面之後,高速旋轉矽襯底並供應氣體或蒸汽乾燥矽襯底。 The method of claim 15, wherein the method further comprises: after supplying deionized water to the back surface of the ruthenium substrate to rinse the back surface of the ruthenium substrate, rotating the ruthenium substrate at a high speed and supplying gas or The substrate is steam dried. 根據請求項1所述的矽通孔背面露頭的方法,其特徵在於,進一步包括:數步測量步驟,包括在向矽襯底的背面噴灑第一蝕刻劑之前,測量矽襯底的厚度;第一蝕刻劑蝕刻之後,測量矽襯底的厚度;第二蝕刻劑蝕刻之後,測量矽襯底的厚度。 The method of claim 1, wherein the method further comprises: a step of measuring, comprising measuring a thickness of the germanium substrate before spraying the first etchant toward the back side of the germanium substrate; After an etchant is etched, the thickness of the ruthenium substrate is measured; after the second etchant is etched, the thickness of the ruthenium substrate is measured. 一種矽通孔背面露頭的裝置,其特徵在於,包括:可旋轉的卡盤裝置,該卡盤裝置在設定的時間間隔內,使其自身的旋轉方向反向,卡盤裝置固定矽襯底,矽襯底內形成有若干矽通孔;至少一個噴嘴,佈置在卡盤裝置的上方,該噴嘴向矽襯底的背面噴灑第一蝕刻劑以蝕刻矽襯底的背面,在矽通孔從矽襯底的背面露出之前停止噴灑第一蝕刻劑,該噴嘴向矽襯底的背面噴灑第二蝕刻劑以蝕刻矽襯底的背面直到矽通孔從矽襯底的背面露出。 A device for exposing a back surface of a through hole, comprising: a rotatable chuck device, wherein the chuck device reverses its own rotation direction within a set time interval, and the chuck device fixes the substrate, A plurality of 矽 through holes are formed in the 矽 substrate; at least one nozzle is disposed above the chuck device, and the nozzle sprays a first etchant to the back surface of the ruthenium substrate to etch the back surface of the ruthenium substrate, and the 矽 through hole 矽The spraying of the first etchant is stopped before the back side of the substrate is exposed, and the nozzle sprays a second etchant toward the back surface of the ruthenium substrate to etch the back surface of the ruthenium substrate until the ruthenium via hole is exposed from the back surface of the ruthenium substrate. 根據請求項20所述的矽通孔背面露頭的裝置,其特 徵在於,當噴嘴向矽襯底的背面噴灑第二蝕刻劑蝕刻矽襯底背面期間,卡盤裝置在設定的時間間隔內,順時針方向和逆時針方向交替旋轉。 According to claim 20, the device for the outcrop of the through hole is characterized by The chuck device alternately rotates clockwise and counterclockwise during a set time interval while the nozzle is spraying a second etchant onto the back side of the ruthenium substrate to etch the back surface of the ruthenium substrate. 根據請求項20所述的矽通孔背面露頭的裝置,其特徵在於,卡盤裝置開設有數個穿孔,保護氣體或保護液體透過該數個穿孔供應至矽襯底的正面。 The device for exposing the back side of the through hole according to claim 20, wherein the chuck device is provided with a plurality of perforations through which the shielding gas or the protective liquid is supplied to the front surface of the crucible substrate. 根據請求項20所述的矽通孔背面露頭的裝置,其特徵在於,噴嘴從矽襯底的背面中心向矽襯底的背面外邊緣水平移動。 The apparatus for exposing the back side of the through hole according to claim 20, wherein the nozzle is horizontally moved from the center of the back surface of the crucible substrate to the outer edge of the back surface of the crucible substrate. 根據請求項23所述的矽通孔背面露頭的裝置,其特徵在於,噴嘴水平移動速度和加速度可調。 The apparatus for exposing the back side of the through hole according to claim 23, wherein the nozzle horizontal moving speed and the acceleration are adjustable. 根據請求項23所述的矽通孔背面露頭的裝置,其特徵在於,進一步包括在噴嘴從矽襯底的背面中心向矽襯底的背面外邊緣水平移動過程中,設置數個噴嘴停頓位置。 The apparatus for exposing the back side of the through hole according to claim 23, further comprising: setting a plurality of nozzle pause positions during horizontal movement of the nozzle from the center of the back surface of the crucible substrate toward the outer edge of the back surface of the crucible substrate. 根據請求項20所述的矽通孔背面露頭的裝置,其特徵在於,噴嘴豎直移動以靠近或遠離矽襯底的背面。 A device for exposing the back side of a through hole according to claim 20, wherein the nozzle moves vertically to approach or away from the back surface of the substrate. 根據請求項26所述的矽通孔背面露頭的裝置,其特徵在於,噴嘴與矽襯底的背面之間的豎直移動距離在0.5cm至10cm之間。 The apparatus for exposing the back side of the through hole according to claim 26, wherein the vertical movement distance between the nozzle and the back surface of the crucible substrate is between 0.5 cm and 10 cm. 根據請求項20所述的矽通孔背面露頭的裝置,其特徵在於,在向矽襯底的背面噴灑第二蝕刻劑期間,噴嘴的噴射角度根據噴嘴位於矽襯底背面的不同區域而改變。 The apparatus for exposing the back side of a through-hole according to claim 20, wherein during spraying of the second etchant toward the back surface of the ruthenium substrate, the ejection angle of the nozzle is changed according to different regions of the nozzle on the back surface of the ruthenium substrate. 根據請求項20所述的矽通孔背面露頭的裝置,其特徵在於,噴嘴移動至矽襯底背面的外邊緣的上方,卡盤裝置以一定轉速旋轉,該轉速高於噴嘴移動至矽襯底背面的中心區域的上方時矽襯底的旋轉速度,以使第二蝕刻劑獲得與矽襯底同步轉速。 The device for exposing the back side of the through hole according to claim 20, wherein the nozzle moves above the outer edge of the back surface of the crucible substrate, and the chuck device rotates at a rotation speed higher than the nozzle moves to the crucible substrate The rotation speed of the substrate is raised above the central region of the back surface so that the second etchant obtains a synchronous rotation speed with the ruthenium substrate. 根據請求項22所述的矽通孔背面露頭的裝置,其特徵在於,進一步包括設置在卡盤裝置上的保護環。 The apparatus of claim 15, wherein the apparatus further includes a guard ring disposed on the chuck device. 根據請求項30所述的矽通孔背面露頭的裝置,其特徵在於,保護環可拆卸的設置在卡盤裝置上。 The apparatus for exposing the back side of the through hole according to claim 30, wherein the guard ring is detachably disposed on the chuck device. 根據請求項30所述的矽通孔背面露頭的裝置,其特徵在於,保護環的內壁是豎直平面或不規則平面。 The apparatus for exposing the back side of the through hole according to claim 30, wherein the inner wall of the guard ring is a vertical plane or an irregular plane. 根據請求項30所述的矽通孔背面露頭的裝置,其特徵在於,保護環固定在卡盤裝置上或者與卡盤裝置分離並在保護環與卡盤裝置之間形成有間隙。 A device for exposing the back side of a through hole according to claim 30, wherein the guard ring is fixed to or separated from the chuck device and a gap is formed between the guard ring and the chuck device. 根據請求項20所述的矽通孔背面露頭的裝置,其特 徵在於,進一步包括數個定位銷,該數個定位銷均勻分佈在卡盤裝置上以固定矽襯底。 According to claim 20, the device for the outcrop of the through hole is characterized by The invention further includes a plurality of positioning pins, the plurality of positioning pins being evenly distributed on the chuck device to fix the substrate.
TW103136671A 2014-10-23 2014-10-23 Method and apparatus for outcroping on the back side of a through hole TWI611507B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW103136671A TWI611507B (en) 2014-10-23 2014-10-23 Method and apparatus for outcroping on the back side of a through hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW103136671A TWI611507B (en) 2014-10-23 2014-10-23 Method and apparatus for outcroping on the back side of a through hole

Publications (2)

Publication Number Publication Date
TW201616604A TW201616604A (en) 2016-05-01
TWI611507B true TWI611507B (en) 2018-01-11

Family

ID=56508653

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103136671A TWI611507B (en) 2014-10-23 2014-10-23 Method and apparatus for outcroping on the back side of a through hole

Country Status (1)

Country Link
TW (1) TWI611507B (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200739718A (en) * 2006-01-31 2007-10-16 Sumco Corp Method for etching single wafer
US20100178761A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Stacked Integrated Chips and Methods of Fabrication Thereof
US7777323B2 (en) * 2006-05-22 2010-08-17 Samsung Electronics Co., Ltd. Semiconductor structure and method for forming the same
TW201120995A (en) * 2009-08-07 2011-06-16 Tokyo Electron Ltd Semiconductor device and method for manufacturing the same
TW201126591A (en) * 2009-11-09 2011-08-01 Mitsubishi Gas Chemical Co Etching liquid for back side etching of silicon substrate in a through-silicon via process and method for manufacturing semiconductor chip having through-silicon via using the etching liquid
US20140174657A1 (en) * 2012-12-20 2014-06-26 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200739718A (en) * 2006-01-31 2007-10-16 Sumco Corp Method for etching single wafer
US7777323B2 (en) * 2006-05-22 2010-08-17 Samsung Electronics Co., Ltd. Semiconductor structure and method for forming the same
US20100178761A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Stacked Integrated Chips and Methods of Fabrication Thereof
TW201120995A (en) * 2009-08-07 2011-06-16 Tokyo Electron Ltd Semiconductor device and method for manufacturing the same
TW201126591A (en) * 2009-11-09 2011-08-01 Mitsubishi Gas Chemical Co Etching liquid for back side etching of silicon substrate in a through-silicon via process and method for manufacturing semiconductor chip having through-silicon via using the etching liquid
US20140174657A1 (en) * 2012-12-20 2014-06-26 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same

Also Published As

Publication number Publication date
TW201616604A (en) 2016-05-01

Similar Documents

Publication Publication Date Title
KR102024122B1 (en) Method and apparatus for through-silicon vias reveal
US8657963B2 (en) In-situ backside cleaning of semiconductor substrate
JP2003526900A (en) Wafer edge engineering method and equipment
US11688639B2 (en) Semiconductor device and method
TWI638034B (en) Method of treating a microelectronic substrate using dilute tmah
TW201250819A (en) Laser and plasma etch wafer dicing using water-soluble die attach film
US20190131145A1 (en) Substrate Vapor Drying Apparatus and Method
US20180269076A1 (en) Substrate processing method, substrate processing apparatus and recording medium
JP2018049973A5 (en)
TWI611507B (en) Method and apparatus for outcroping on the back side of a through hole
CN105097432B (en) Wafer processing method
US11011601B2 (en) Narrow gap device with parallel releasing structure
JP5470746B2 (en) Manufacturing method of semiconductor device
JP2010177541A (en) METHOD OF REMOVING PROCESSING DAMAGE OF Si WAFER
Wang et al. A wet etching approach for the via-reveal of a wafer with through silicon vias
US9833876B2 (en) Polishing apparatus and polishing method
WO2021208855A1 (en) Semiconductor structure and forming method therefor
CN105742229A (en) Method for forming semiconductor structure
TWI752842B (en) Etch back method and etching system for semiconductor device
JP6837116B1 (en) Substrate processing nozzle
JP2013153074A (en) Method for forming capacitor
JP2006080263A (en) Cleaning method of electronic device
WO2015044975A1 (en) Etching method
US20130045606A1 (en) Semiconductor device cleaning method and apparatus
JP5940022B2 (en) Manufacturing method of semiconductor device