TWI604562B - 選擇性氮化方法 - Google Patents

選擇性氮化方法 Download PDF

Info

Publication number
TWI604562B
TWI604562B TW099109958A TW99109958A TWI604562B TW I604562 B TWI604562 B TW I604562B TW 099109958 A TW099109958 A TW 099109958A TW 99109958 A TW99109958 A TW 99109958A TW I604562 B TWI604562 B TW I604562B
Authority
TW
Taiwan
Prior art keywords
nitrogen
containing layer
layer
forming
plasma
Prior art date
Application number
TW099109958A
Other languages
English (en)
Other versions
TW201036108A (en
Inventor
奧森克里斯多夫S
史文博格玖漢尼斯
甘古力尤達炎
瓜立尼泰瑞莎克拉莫
邱永男
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201036108A publication Critical patent/TW201036108A/zh
Application granted granted Critical
Publication of TWI604562B publication Critical patent/TWI604562B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Weting (AREA)

Description

選擇性氮化方法
本發明之實施例係關於半導體製造製程及元件之領域,更詳言之,係關於形成一元件之方法。
藉由單純地縮小元件結構而改變半導體元件尺寸經常無法產生在微小尺寸中可接受的成果。在NAND快閃記憶體元件中,當將一特徵結構(諸如穿隧氧化物層或多晶矽層間介電層(IPD))改變尺寸時,非期望的漏損(leakage)會發生在例如基材和浮置閘極之間或浮置閘極和控制閘極之間等。因此,例如,為改善穿隧氧化物層的可靠度或者抑制摻質從浮置閘極擴散出去,每一層可藉由諸如氮化製程而具有結合於其中或於其表面的氮。
一般而言,可執行此類氮化製程以將氮結合進入記憶體元件的浮置閘極結構中。然而,氮化製程也會非期望地將氮結合至分隔鄰接的浮置閘極結構的淺溝槽隔離(STI)區域中。具有氮結合的STI區域會非期望地電性耦接鄰接的浮置閘極結構,造成鄰接的浮置閘極之間電性耦接,其會負面地影響元件性能。在某些習知製程中,可利用溼式化學製程以嘗試在氮化製程後移除STI區域中的氮。然而,不幸的是,溼式化學移除製程也會移除及降解形成於浮置閘極頂上的期望的含氮層。
因此,在此技藝中需要一種改善的製造半導體元件的方法。
茲提供一種形成半導體元件的方法。在某些實施例中,一種形成一半導體元件的方法包含以下步驟:提供具有一氧化物表面以及一矽表面的一基材;形成一含氮層於該氧化物表面及矽表面二者的曝露部份上;以及氧化該含氮層以選擇性從該氧化表面頂上移除該含氮層。在某些實施例中,氧化物層係形成於在該矽特徵結構上形成的該含氮層的一剩餘部份之頂上。在某些實施例中,氧化物表面是一淺溝槽隔離區域(STI)的一曝露表面,其配置於鄰接一個或多個一半導體元件的浮置閘極。在某些實施例中,矽表面是一半導體元件的一矽或多晶矽浮置閘極之一曝露表面。
在此提供製造半導體元件的方法。一般而言,該方法包含選擇性氮化曝露的矽特徵結構,諸如快閃記憶體元件之浮置閘極結構,以及極微或無氮化其他曝露的特徵結構,諸如配置於鄰接浮置閘極結構處的淺溝槽隔離(STI)區域。在此揭露的方法有利地從非期望的曝露之特徵結構移除氮,並減少或限制對形成於曝露之矽特徵結構頂上的氮化層的傷害。
第1圖根據本發明之某些實施例描繪一流程圖,該流程圖用於一種形成半導體元件的方法。方法100將於以下根據第2A至2D圖所描繪的示範性半導體元件(記憶體元件200)的製造之階段描述。方法100選擇性地在每一浮置閘極層的表面形成含氮層,同時造成非期望的基材部份(諸如於基材的場氧化層之表面或STI結構等)具極微氮結合或無氮結合。儘管在此是針對浮置閘極及氧化物層(諸如STI結構及/或場氧化層)而繪示,在此揭露的方法可與配置在基材上的其他結構一併利用,諸如其他氧化物層或結構、介電層或結構等。
方法100起始於102,其為提供具有曝露的氧化物表面以及曝露的矽表面之基材202。此類基材202描繪於第2A圖,該圖描繪形成於基材202上的部份形成之記憶體元件200。基材202可包含適合的材料,諸如結晶矽(例如,矽<100>或矽<111>)、氧化矽、應變矽、矽鍺、摻雜或非摻雜多晶矽、摻雜或非摻雜矽晶圓、圖案化或非圖案化晶圓、絕緣體上覆矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、鎵砷、玻璃或藍寶石等。在某些實施例中,基材202包含矽。
該部份形成的記憶體元件200包含圖案化浮置閘極層206(其界定複數個浮置閘極),其具有曝露的矽表面207。浮置閘極206通常包含導電材料,諸如多晶矽或金屬等。某些實施例中,浮置閘極層206可由矽(Si)或多晶矽(poly-Si)形成,其任一者可受摻雜或不受摻雜。浮置閘極206具有一組態,該組態適合於助益IPD層210的部份配置在鄰接之晶胞之間(例如在晶胞203、205及211之間),如第2D圖所示。
穿隧氧化物層204配置在基材202以及浮置閘極層206之間。穿隧氧化物層204可包含矽及氧,諸如二氧化矽(SiO2)、氮氧化矽(SiON)或高k介電材料,該高k介電材料是諸如鋁(Al)系、鉿(Hf)系或鑭(La)系、鋯(Zr)系氧化物或氮氧化物,或氮化矽(SixNy)等,其可以單一或層疊結構(例如SiO2/高k介電材料/SiO2)或諸如此類。
穿隧氧化物層204可經類似地圖案化以對應圖案化浮置閘極層206。圖案化浮置閘極層206、穿隧氧化物層204以及基材202之下伏部份的每一部份可包含記憶體元件200的晶胞203(或記憶體單元)。穿隧氧化物層204在每一晶胞內可具有一寬度,其實質上相等於由圖案化浮置閘極層206所界定的個別浮置閘極之基座寬度。穿隧氧化物層204可具有任何適合的厚度,例如,介於約5nm至約12nm之間。
記憶體元件200的每一晶胞可由淺溝槽隔離(STI)區域208分隔,其隔離鄰接晶胞及/或其他在基材202上的元件(包含其他記憶體元件)。舉例而言,在記憶體元件200中,淺溝槽隔離(STI)區域208配置在基材202中於每一晶胞之間(例如,鄰接穿隧氧化物層204及浮置閘極層206的每一部份,其中STI區域208使晶胞203分隔鄰接的晶胞205及211)。STI區域208可包含矽及氧,諸如二氧化矽(SiO2)或氮氧化矽(SiON)等。STI區域208可延伸至充分高處以致能接觸鄰接晶胞(例如晶胞203及205)中的鄰接浮置閘極206。
因此,在第2A圖所繪示的製造階段,基材202包含曝露的氧化物表面209(例如,於STI區域208頂上)以及曝露的矽表面207(例如,在浮置閘極層206頂上)。
在某些實施例中,形成氮層之前(如下於104討論),可有一預清潔(溼式或乾式),其能將具末端之表面留在矽區域上-該末端為化學氧化物或裸矽。在某些實施例中,可利用留下裸矽表面的乾式清潔製程,其可整合進全製程中。在此實例中,具有整合的預清潔利於可避免曝露至空氣以及氧化該矽。在某些實施例中,摻質植入可發生於104之氮化之前,以致最終的摻質濃度增加(相較於不執行預氮化摻質製程者)。
接著,在104,含氮層形成於如第2B圖所繪示之STI區域208的暴露氧化物表面209以及浮置閘極206的曝露矽表面207兩者上。含氮層214可包含,例如形成於STI區域208的曝露氧化物表面209上的氮氧化物部份214A;及/或例如形成在浮置閘極206的曝露表面207上的氮化物部份214B。示範性氮氧化物部份包含氮氧化矽(SiON)。示範性氮化物部份包含氮化矽(SiN)。含氮層214可具有介於約0.2nm至約5nm之間的厚度。含氮層208可具有介於約1%至約60%之間的氮含量。
含氮層214可使用任何適合的氮化製程形成,例如使用去耦電漿源之電漿氮化。適合於與本發明使用之示範性腔室為任何能設置以用於去耦電漿氮化(DPN)之腔室,其可由美國加州Santa Clara的應用材料公司購得。
舉例而言,示範性氮化製程包含從製程氣體形成電漿。製程氣體至少包含氮。舉例而言,適合的第一製程氣體可包含氮(N2)、氨(NH3)或其組合。第一製程氣體可視情況任選地進一步包含惰性氣體,諸如氬(Ar)、氦(He)、氪(Kr)、氙(Xe)等。在某些實施例中,第一製程氣體只有氮(N2)。
以總氣體流速從約200sccm至約1000sccm,或於約400sccm供給製程氣體。第一製程氣體可利用組成物之範圍。在某些實施例中,製程可包含約3%的N2之間(即,約10sccm至約50sccm之間的N2流速)。在某些實施例中,製程氣體可包含約介於3%至約50%的NH3(即,介於約10sccm至約100sccm的NH3流速)。某些實施例中,製程氣體可包含介於約0至約97%的惰性氣體(即,介於約0至約1000sccm的惰性氣體流速)。舉例而言,在一特定實施例中,包含100的N2可以約400sccm之流速提供。
電漿可從例如使用感應耦合電漿源之製程形成。在某些實施例中,電漿密度介於約109至約1012離子/cm3之間。電漿可藉由使用RF源功率形成。在某些實施例中,RF源功率介於約100W至約5000W之間。RF源功率可以任何適合的RF頻率提供。舉例而言,某些實施例中,RF源功率可以介於約13MHz至約90MHz之間之頻率提供。在某些實施例中,RF源功率可以13MHz之頻率提供。壓力腔室的壓力在感應氮化製程期間可維持在約0.005Torr至約0.5Torr,或於約0.05Torr。
基材202在氮化製程期間可被加熱。舉例而言,基材202、STI區域208以及浮置閘極206可被加熱以致曝露表面的溫度介於攝氏約100度至約500度之間。增加溫度範圍可助益更高的氮化率及/或更高的氮含量並且可改變矽區域及SiO2區域之間不同的氮化率。在某些實施例中,及在溫度介於攝氏約300度至約450度之間,結合至浮置閘極的氮含量可介於約15%至約30%之間。在某些實施例中,及在溫度介於攝氏約300度至約450度之間,結合至STI區域的氮含量可介於約5%至約20%之間。
在某些實施例中,可視情況任選地施加RF偏壓功率,諸如介於約50W至約500W之間。RF偏壓功率可以任何適合的頻率範圍施加,諸如介於約13.5MHz至約60MHz之間。
接著,在106,含氮層214可被氧化,同時來自STI區域208的含氮層可選擇性地被移除,如第2C圖所描繪。含氮層選擇性地從STI區域208頂上移除(例如)以限制或消除任何介於鄰接晶胞中的浮置閘極206之間的導電路徑。含氮矽表面(214)被氧化,其造成最終的SiON層(216)。氧化物層216具有介於約0.2至約5nm之間的厚度。在某些實施例中,可利用氧化物層216作為IPD層210的一部份,諸如將於下討論的ONO層的第一氧化物層。
用於選擇性移除含氮層的氧化製程可為任何適合的氧化製程,包含電漿氧化(諸如去耦電漿氧化,DPO)、諸如尖峰式RTP之快速熱氧化(RTO)、自由基氧化、尖峰式自由基氧化或熱氮化等。其亦可為製程之組合,諸如退火後進行自由基氧化。適合執行本發明方法之氧化製程的示範性製程腔室包含或RADOXTM RTP腔室,可由美國加州Santa Clara的應用材料公司購得。
提供氧化製程氣體,且該氧化製程氣體至少包含含氧氣體。在某些實施例中,製程氣體包含氫(H2)及氧(O2)。在某些實施例中,氫(H2)可少於約1%,或少於約3%,或少於約6%,或高達約80%的所提供之氫(H2)及氧(O2)之總量。在某些實施例中,氫(H2)可為約3%至約33%的所提供之氫(H2)及氧(O2)之總量(例如,氫(H2)對氧(O2)之流率比率為約1:1至約4:1)。某些實施例中,氫(H2)可為約0.5%、或約1%,或約3%,或約6%的所提供之氫(H2)及氧(O2)之總量。在某些實施例中,氧化製程氣體包含氫(H2)及氧化亞氮(N2O),其為如上所討論之相同流量比率。
某些實施例中,氧化製程氣體可以總流率高達50slm或介於約20slm至約30slm之間提供。某些實施例中,如需要可提供惰性氣體,其以在約20slm至約30slm之間的總流率提供。某些實施例中,如需要可提供惰性氣體,其以具有約50%或更高的氫(H2)含量之製程氣體混合物提供。某些實施例中,一或多個惰性氣體可包含氬(Ar)、氦(He)、氪(Kr)或氖(Ne)等。予以製程氣體的添加物或一或多種惰性氣體可助益更高的氧化率。一特定實施例中,氧(O2)是以約19slm提供,氫(H2)是以約1slm提供。某些實施例中,電漿氧化氣體可包含上述之氫(H2)及氧(O2)之組合,以及介於總氣體流量約5%至約95%之間的惰性氣體。
在氧化電漿是由氧化製程氣體(例如,諸如去耦電漿氧化)所形成的氧化製程的實施例中,氧化電漿可藉由施加在適合形成電漿的頻率下(例如,在MHz範圍,或於約13.56MHz或更大)以介於約50W至約2500W之間的RF源功率形成電漿。在某些實施例中,氧化電漿是以介於約109至約1012離子/cm3之間的密度形成。
氧化物層216可在介於約0.005Torr至約15Torr之間的壓力下形成。可替代地或結合地,基材202可維持於更高溫度以助益氧化速率增加,例如,基材202的溫度可受加熱至介於約室溫到約攝氏550度之間。
在氧化電漿是由氧化製程氣體所形成的氧化製程的實施例中,基材202可在形成氧化物層216期間受偏壓以控制至含氮層214表面的氧化電漿之通量,而在某些實施例中,受偏壓以控制形成的氧化物層之厚度。某些實施例中,施加至基材202的偏壓功率在約50W至約500W。
在含氮層214是在熱氧化製程中氧化的實施例中,製程可在大於攝氏600度及低於攝氏1100度的溫度下執行。某些實施例中,在熱氧化製程中提供的製程氣體可包含氫(H2)以及含氧氣體。氫(H2)以及含氧氣體可在總製程壓力小於約20Torr下反應。
某些實施例中,茲可期望從STI區域208頂上移除含氮層214,同時減少含氮層214剩餘部份頂上的氧化物層形成。例如,期望減少氧化物層形成以於記憶體元件200中保留尺寸變化。因此,氧化製程的製程條件可受調整以致在從STI區域208頂上移除的氮以及在剩餘的含氮層214頂上氧化層之形成之間取捨。舉例而言,此類製程條件可包含降低溫度、諸如藉由利用尖峰式RTP製程減少熱預算、調整製程氣體濃度、壓力、流率、或其組合。舉例而言,可使用具有攝氏700度之溫度以及約10秒至約60秒之3%至33%的H2(於O2中)的浸泡時間的低溫自由基氧化製程。此外,具有峰值溫度高達攝氏950度的尖峰式自由基氧化製程可與上述的氧化氣體結合使用。
可在方法100完成後,或與方法100結合執行視情況任選的製程。舉例而言,在氧化製程之前可利用溼式蝕刻以移除配置在STI區域208頂上的一部分之含氮層214。溼式蝕刻可包含將元件200浸洗於酸中,例如,諸如氫氟酸(HF)或氫氯酸(HCl)。退火可在溼式蝕刻前使用以緻密化氮。再者,元件200可在高溫氧化前退火以(例如)在106之選擇性氧化前均勻化及穩定化含氮層214。元件200可在高溫氧化後退火,以在沈積IPD層210前因類似的理由操作。再者,溼式蝕刻可在氧化製程之後執行,(例如)以從STI區域208頂上移除殘餘的含氮物種而不移除浮置閘極上的氧化層216(在某些實施例中,是SiON層)。
在某些實施例中,方法100可以選擇性移除含氮層214而終止,然而,可執行其他製程以完成元件200,如第2D圖所描繪。舉例而言,多晶矽層間介電(IPD)層210可形成於浮置閘極層206上方而控制閘極層212可形成於IPD層210%之上,如第2D圖所示。IPD層210將每一浮置閘極206與控制閘極層212分隔。IPD層可配置在氧化物層216頂上以及STI區域208頂上並且位於鄰接浮置閘極206之間的任何空置區域中。IPD層210可包含任何適合的單一或多層介電材料。單一層IPD可包含SiO2、SiON或如上關於穿隧氧化物層104所論及之高k介電材料等。多層IPD之非限制範例為多層ONO層,其包含第一氧化物層、氮化物層以及第二氧化物層,或者該非限制範例為多層OAO層,其包含第一氧化物層、氧化鋁層以及第二氧化物層。第一和第二氧化物層通常包含矽及氧,諸如二氧化矽(SiO2)或氮氧化矽(SiON)等。氯化物層通常包含矽及氮,諸如氮化矽(SiN)等。某些實施例中,包含SiO2/高k/SiO2(諸如SiO2/Al2O3/SiO2)的多層IPD層也可用作IPD層210。在某些實施例中,IPD層210經沉積達到介於約12nm至約15nm之間的厚度。
控制閘極層212可沉積於IPD層210上方,如第2D圖所示。含氮層(未圖示)可視情況任選地在沉積控制閘極212之前沈積在IPD層210頂上。控制閘極層212通常包含導電材料,諸如多晶矽或金屬等。
舉例而言,此述之諸如氮化及氧化製程之方法可在個別的氮化及氧化腔室中執行,諸如上述之示範性腔室,該等腔室可以獨立電腦組態或為群集工具之一部份的方式執行,例如,於關於第3圖於以下描述之整合工具300(即,群集工具)。整合工具300的範例包含CENTURA整合工具,例如DPN Gate Stack,全可由美國加州Santa Clara的應用材料公司購得。應考量到,此述之方法可使用其他具有適合的與其耦接之製程腔室的群集工具實行,或者於其他適合的製程腔室中實行。
整合工具300包含真空密封的處理平台301、工廠介面304以及系統控制器302。平台301包含多重處理腔室,諸如314A、314B、314C以及314D,其在操作上耦接至真空基材傳送腔室303。工廠介面304藉由一個多個鎖定負載腔室(兩個鎖定負載腔室,諸如第3圖中所示之306A及306B)在操作上耦接至傳送腔室303。
某些實施例中,工廠介面304包含至少一個對接站307、至少一個工廠介面機器人338以助益傳送半導體基材。對接站307係設置以接受一或多個前開口式通用容器(FOUP)。諸如305A、305B、305C、及305D之四個前開口式通用容器顯示於第3圖之實施例。工廠介面機器人338經設置以從工廠介面304透過負載鎖定腔室(諸如306A及306B)傳送基材至處理平台301。每一負載鎖定腔室306A及306B具有耦接至工廠介面304的第一埠口以及耦接至傳送腔室303的第二埠口。負載鎖定腔室306A及306B耦接至壓力控制系統(未圖示),該系統抽吸並排空腔室306A及306B以利在傳送腔室303的真空環境與工廠介面304的實質上周圍環境(例如,大氣壓下)之間傳遞基材。傳送腔室303具有真空機器人313配置其中。真空機器人313能夠在負載鎖定腔室306A及306B與處理腔室314A、314B、314C及314D之間傳送基材312。
某些實施例中,處理腔室314A、314B、314C及314D耦接至傳送腔室303。處理腔室314A、314B、314C及314D包含氧化腔室、氮化腔室及視情況任選的蝕刻腔室之中的至少一個,以及包含用於沉積穿隧氧化物層、材料層、IPD層或控制閘極層等的沉積腔室。氧化腔室可包含設置以用於電漿氧化、快速熱氧化或自由基氧化之部件。氮化腔室可包含設置以用於去耦電漿氮化(DPN)等之部件。蝕刻腔室可包含設置以用於溼式或乾式蝕刻或反應式離子蝕刻(RIE)等之部件。適合用於執行本發明之至少某些實施例的腔室之範例已於上討論。
某些實施例中,一個或多個視情況任選的維護腔室(如316A及316B所示)可耦接至傳送腔室303。維護腔室316A及316B可經設置以執行其他基材製程,諸如除氣、定向、基材度量及冷卻等。
系統控制器302使用直接控制處理腔室314A、314B、314C及314D,或可替代地藉由控制關聯於處理腔室314A、314B、314C及314D及工具300的電腦(或控制器)以控制工具300之操作。操作上,系統控制器302可致使資料匯集,並且從個別腔室及系統回饋,以最適化系統300的性能。系統控制器302一般包含中央處理單元(CPU)330、記憶體334以及支持電路332。CPU 330可為用於工業環境的一般目的之電腦處理器的任何形式之一。支持電路332習知上耦接至CPU 330且可包含高速緩衝存儲器、時脈電路、輸入/輸出次系統及功率供應器等。當由CPU 330執行軟體常用程式(諸如,如上所述形成浮置閘極之方法)時,會將CPU 330轉成特定目的之電腦(控制器)302。軟體常用程式也可由第二控制器(未圖示)存放及/或執行,該第二控制器位於工具300之遠端。
因此,在此已提供用於製造半導體元件之方法。該方法有利地從曝露的氧化物特徵結構選擇性移除沉積的含氮層,並且將減少或限制對形成於曝露之矽特徵結構頂上的含氮層之破壞。
前述者係導向本發明之實施例,其他及更進一步的本發明之實施例可不背離本發明之基本範疇而設計。
100...方法
102-106...步驟
200...記憶體元件
202...基材
203、205、211...晶胞
204...穿隧氧化物層
206...圖案化浮置閘極層
207...曝露矽表面
208...淺溝槽隔離區域
209...曝露氧化物表面
210...IPD層
212...控制閘極層
214...含氮層
214A...氮氧化物部份
214B...氮化物部份
216...氧化物層
300...整合工具
301...真空密封處理平台
302...系統控制器
303...真空基材傳送腔室
304...工廠介面
305A-D...前開口式通用容器
306A-B...負載鎖定腔室
307...對接站
313...真空機器人
314A-D...處理腔室
316A-B...維護腔室
321...基材
330...中央處理單元
332...支持電路
334...記憶體
338...工廠介面機器人
參考在附圖中描繪的本發明之實施例,可得到之前簡要總結以及更加詳細論述的本發明之實施例。但應注意到,附圖只繪示本發明的典型實施例,因本發明允許其他同等有效的實施例,故不視為其範圍限制。
第1圖根據本發明之某些實施例描繪一流程圖,該流程圖用於一種形成半導體元件的方法。
第2A至2D圖根據第1圖的方法之某些實施例描繪製造半導體元件的階段。
第3圖描繪適合執行本發明之部份的群集工具
為有助於瞭解,如此處可能,使用同一元件符號以指定共通於各圖的同一元件。為清晰起見,該等圖式不以比例繪製並且經過簡化。應認知到在一實施例中公開的元件可有利於一併用於其他實施例而無須特別說明。
100...方法
102-106...步驟

Claims (20)

  1. 一種形成一半導體元件的方法,其包含以下步驟:提供具有一氧化物表面以及一矽表面的一基材;對該氧化物表面以及該矽表面進行一氮化製程,以形成一含氮層於該氧化物表面及該矽表面二者的曝露部份上;以及氧化該含氮層以選擇性從該氧化物表面頂上移除該含氮層。
  2. 如申請專利範圍第1項所述之方法,其進一步包含以下步驟:形成一氧化物層於在該矽表面上形成的該含氮層之一剩餘部份頂上。
  3. 如申請專利範圍第1項所述之方法,其中該氧化物表面包含一淺溝槽隔離區域(STI)之一曝露表面,該淺溝槽隔離區域配置於鄰接一半導體元件之一個或多個浮置閘極。
  4. 如申請專利範圍第1項所述之方法,其中該矽表面是一半導體元件之一矽或多晶矽浮置閘極的一曝露表面。
  5. 如申請專利範圍第1項所述之方法,其進一步包含以下步驟:在形成該含氮層前於該基材上執行一預清潔製程以提供該矽表面上之一具末端表面。
  6. 如申請專利範圍第1項所述之方法,其中,形成該含氮層之步驟包含以下步驟:於該氧化物表面頂上形成該含氮層之一氮氧化物部份;以及於該矽表面頂上形成該含氮層之一氮化物部份。
  7. 如申請專利範圍第6項所述之方法,其中該氮氧化物部份包含氮氧化矽(SiON)且其中該氮化物部份包含氮化矽(SiN)。
  8. 如申請專利範圍第1項所述之方法,其中形成該含氮層之步驟包含以下步驟:形成該含氮層至約0.2奈米至約5.0奈米之厚度。
  9. 如申請專利範圍第1項所述之方法,其中形成該含氮層之步驟包含以下步驟:形成具有介於約1%至約60%之間的氮含量之該含氮層。
  10. 如申請專利範圍第1項所述之方法,其進一步包含以下步驟:在形成該含氮層之前執行一摻質植入製程以增加該基材內的摻質濃度。
  11. 如申請專利範圍第1項所述之方法,其進一步包含以下步驟中至少一者:在形成該含氮層之後及氧化該含氮層之前,執行一溼式蝕刻以從該氧化物表面頂上移除該含氮層的一部分;或在氧化該含氮層之後執行一溼式蝕刻以從該氧化物表面頂上移除一殘餘的含氮物種。
  12. 如申請專利範圍第1項所述之方法,其進一步包含以下步驟:在氧化該含氮層之後,在該曝露矽表面頂上形成一多晶矽層間介電層;以及在該多晶矽層間介電層頂上形成一控制閘極層。
  13. 如申請專利範圍第1項至第12項中任一項所述之方法,其中該含氮層以一電漿氮化製程形成。
  14. 如申請專利範圍第13項所述之方法,其中該電漿氮化製程進一步包含以下步驟: 從包含一含氮氣體的一製程氣體形成一電漿;以及將該基材曝露至該電漿以形成該含氮層。
  15. 如申請專利範圍第14項所述之方法,其中該含氮氣體包含氮(N2)或氨(NH3)中至少一者。
  16. 如申請專利範圍第13項所述之方法,其進一步包含以下步驟:加熱該基材同時執行該電漿氮化製程以增加該含氮層之氮化率或氮含量中之至少一者。
  17. 如申請專利範圍第1項至第12項中任一項所述之方法,其中該含氮層是以一電漿氧化製程氧化。
  18. 如申請專利範圍第17項所述之方法,其中該電漿氧化製程包含以下步驟:從一製程氣體形成一電漿,該製程氣體包含氧(O2)並且包含氫(H2)、氦(He)、氮(N2)或氬(Ar)中之一者;以及將該基材曝露至該電漿以氧化該含氮層。
  19. 如申請專利範圍第1項至第12項中任一項所述之方法,其中該含氮層以一熱氧化製程於大於攝氏600度且小於攝氏1100度之溫度氧化。
  20. 如申請專利範圍第19項所述之方法,其中該熱氧化製程包含以下步驟:在總腔室壓力少於20Torr下反應氫(H2)及一含氧氣體。
TW099109958A 2009-03-31 2010-03-31 選擇性氮化方法 TWI604562B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16517909P 2009-03-31 2009-03-31
US12/748,523 US7972933B2 (en) 2009-03-31 2010-03-29 Method of selective nitridation

Publications (2)

Publication Number Publication Date
TW201036108A TW201036108A (en) 2010-10-01
TWI604562B true TWI604562B (zh) 2017-11-01

Family

ID=42784777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109958A TWI604562B (zh) 2009-03-31 2010-03-31 選擇性氮化方法

Country Status (4)

Country Link
US (1) US7972933B2 (zh)
JP (1) JP5595481B2 (zh)
TW (1) TWI604562B (zh)
WO (1) WO2010117703A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8163626B2 (en) * 2009-06-15 2012-04-24 Applied Materials, Inc. Enhancing NAND flash floating gate performance
EP2495762B1 (en) 2011-03-03 2017-11-01 IMEC vzw Method for producing a floating gate semiconductor memory device
JP2012216667A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ処理方法
US8994089B2 (en) * 2011-11-11 2015-03-31 Applied Materials, Inc. Interlayer polysilicon dielectric cap and method of forming thereof
CN103633030A (zh) * 2012-08-22 2014-03-12 上海华虹宏力半导体制造有限公司 改善sonos闪存器件可靠性的面内均一性的方法
KR101965992B1 (ko) 2014-12-25 2019-04-04 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
CN108987402A (zh) * 2017-05-31 2018-12-11 华邦电子股份有限公司 存储元件的制造方法
TWI635599B (zh) * 2017-05-31 2018-09-11 華邦電子股份有限公司 記憶元件的製造方法
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100356773B1 (ko) 2000-02-11 2002-10-18 삼성전자 주식회사 플래쉬 메모리 장치 및 그 형성 방법
US6620705B1 (en) * 2000-12-06 2003-09-16 Advanced Micro Devices, Inc. Nitriding pretreatment of ONO nitride for oxide deposition
US7517751B2 (en) 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
JP4256340B2 (ja) 2002-05-16 2009-04-22 東京エレクトロン株式会社 基板処理方法
JP4296128B2 (ja) * 2004-06-23 2009-07-15 株式会社東芝 不揮発性半導体メモリ装置及びその製造方法
US7332408B2 (en) * 2004-06-28 2008-02-19 Micron Technology, Inc. Isolation trenches for memory devices
US7482223B2 (en) * 2004-12-22 2009-01-27 Sandisk Corporation Multi-thickness dielectric for semiconductor memory
KR100632640B1 (ko) * 2005-03-10 2006-10-12 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7888217B2 (en) 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7387972B2 (en) * 2006-03-01 2008-06-17 Promos Technologies Pte. Ltd. Reducing nitrogen concentration with in-situ steam generation
KR100806130B1 (ko) * 2006-07-12 2008-02-22 삼성전자주식회사 불휘발성 메모리 장치의 제조방법
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7749849B2 (en) * 2007-12-18 2010-07-06 Micron Technology, Inc. Methods of selectively oxidizing semiconductor structures, and structures resulting therefrom
WO2009114617A1 (en) 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
JP5361328B2 (ja) * 2008-10-27 2013-12-04 株式会社東芝 不揮発性半導体記憶装置の製造方法
US8163626B2 (en) * 2009-06-15 2012-04-24 Applied Materials, Inc. Enhancing NAND flash floating gate performance

Also Published As

Publication number Publication date
WO2010117703A2 (en) 2010-10-14
TW201036108A (en) 2010-10-01
JP5595481B2 (ja) 2014-09-24
WO2010117703A3 (en) 2011-01-13
JP2012522399A (ja) 2012-09-20
US20100248435A1 (en) 2010-09-30
US7972933B2 (en) 2011-07-05

Similar Documents

Publication Publication Date Title
TWI604562B (zh) 選擇性氮化方法
US7910497B2 (en) Method of forming dielectric layers on a substrate and apparatus therefor
JP5358165B2 (ja) 半導体集積回路装置の製造方法
JP5282419B2 (ja) 半導体装置及びその製造方法
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
WO2019013949A1 (en) SELECTIVE OXIDATION FOR MANUFACTURING NANOWIRES FOR SEMICONDUCTOR APPLICATIONS
US20080026553A1 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
JP2007311540A (ja) 半導体装置の製造方法
TWI663654B (zh) 低溫熱氧化或電漿氧化之氧化後退火
JP2009021608A (ja) 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
JP2008244059A (ja) 半導体装置の製造方法
TW200406024A (en) Manufacture method of semiconductor device with gate insulating films of different thickness
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
WO2007049510A1 (ja) 処理方法及び記録媒体
JP5507654B2 (ja) 半導体装置の製造方法
JP6104928B2 (ja) 層間多結晶シリコン誘電体キャップおよびその形成方法
JP2009016500A (ja) 半導体装置の製造方法
JP3727299B2 (ja) 半導体装置の製造方法
US8163626B2 (en) Enhancing NAND flash floating gate performance
JP2008072001A (ja) 半導体装置及びその製造方法
JP4946017B2 (ja) 半導体装置の製造方法
TW202249069A (zh) 用以改善元件效能的處理
CN109786254B (zh) 后栅极工艺中的选择性高k形成
JP3833956B2 (ja) 半導体装置の製造方法及び半導体装置
TW202145372A (zh) 用於改良膜的有效氧化物厚度之氫化與氮化製程