TWI601222B - 具有監控鏈與測試導線之積體電路測試結構 - Google Patents

具有監控鏈與測試導線之積體電路測試結構 Download PDF

Info

Publication number
TWI601222B
TWI601222B TW105123871A TW105123871A TWI601222B TW I601222 B TWI601222 B TW I601222B TW 105123871 A TW105123871 A TW 105123871A TW 105123871 A TW105123871 A TW 105123871A TW I601222 B TWI601222 B TW I601222B
Authority
TW
Taiwan
Prior art keywords
test
metal level
metal
integrated circuit
wires
Prior art date
Application number
TW105123871A
Other languages
English (en)
Other versions
TW201712772A (zh
Inventor
安德魯T 金
卡西萊恩J 克里斯提安森
平川 王
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201712772A publication Critical patent/TW201712772A/zh
Application granted granted Critical
Publication of TWI601222B publication Critical patent/TWI601222B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2884Testing of integrated circuits [IC] using dedicated test connectors, test elements or test circuits on the IC under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)

Description

具有監控鏈與測試導線之積體電路測試結構
本文中所揭露的主題關於用於積體電路(integrated circuit;IC)的方法及測試結構。尤其,本發明的態樣關於可測量IC晶片及其元件(例如金屬層級層以及其中的層間介電質)的可靠性的測試及監控結構。
特定裝置的各IC可由位於半導體基板材料的一個或多個晶片上的數十億互連裝置例如電晶體、電阻器、電容器以及二極體組成。包括IC的產品的品質及可行性可至少部分依賴於用以製造該IC以及其中各種元件的結構的技術。IC的製造可包括兩個階段:前端製程(front-end-of-line;FEOL)製造方法以及後端製程(back-end-of-line;BEOL)製造方法。FEOL通常包括執行於晶圓上直到並包括形成第一“金屬層級”(也就是將數個半導體裝置連接在一起的金屬導線)的製造製造方法。BEOL通常包括形成該第一金屬層級之後的製造製造方法,包括所有後續金屬層級的形成。為了使所製造的裝置具有較大的可擴展性及精密度,可改變金屬層級的數目以適合特定 的應用,例如提供四至六個金屬層級,或者在另外的例子中提供多達16個或更多的金屬層級。
兩個或更多金屬層級可通過使用垂直金屬導線(也被稱為“過孔(vias)”)電性互連。除其它中間金屬層級以外,各過孔可穿過一個或多個層間介電材料區域。過孔可帶來重大的製造挑戰,因為單個斷裂接觸或電性短路可影響整個產品的操作。因此,在包括例如層間介電質特別薄的情況下以及在大量過孔的情況下,準確預測或以信號顯示晶片級失效率可能尤為重要。傳統的測試結構可包括長而交織的金屬導線導電鏈。這些類型的測試結構可能為高電阻並引起失效率高估,因為測試電流與“漏”電流具有相似的量級。替代測試結構可能對電流變化更為敏感,但可能因其底層結構的不同而不測試最壞情形。
本發明的第一態樣提供一種積體電路(integrated circuit;IC)測試結構,該測試結構包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;第一測試導線,位於該第一金屬層級內並沿第一方向延伸,其中,該第一測試導線與該監控鏈電性絕緣;以及第二測試導線,位於該第二金屬層級內並沿第二方向延伸,其中,該第二測試導線與該監控鏈及該第一測試導線電性絕緣,以及其中,該第一方向不同於該第二方向。
本發明的第二態樣提供一種積體電路(IC)測試結構,該測試結構包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;第一測試導線,位於該第一金屬層級內並沿第一方向延伸,其中,該第一測試導線與該監控鏈電性絕緣;以及第二測試導線,位於該第二金屬層級內並沿第二方向延伸,其中,該第一方向不同於該第二方向;互連過孔,與該第一測試導線及該第二測試導線的其中一條電性耦接,並自該第一金屬層級延伸至該第二金屬層級。
本發明的第三態樣提供一種積體電路(IC)測試結構,該測試結構包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;多條第一測試導線,分別位於該第一金屬層級內並沿第一方向延伸,其中,各該多條第一測試導線與該監控鏈電性絕緣並橫向位於該多條金屬導線的其中兩條之間;以及多條第二測試導線,分別位於該第二金屬層級內並沿第二方向延伸,其中,各該多條第二測試導線與該監控鏈電性絕緣並橫向位於該多條金屬導線的其中兩條之間,以及其中,該第一方向不同於該第二方向。
10‧‧‧IC測試結構
12‧‧‧IC晶片
14‧‧‧監控鏈
16‧‧‧第一端
18‧‧‧第二端
20‧‧‧第一測試墊
22‧‧‧第二測試墊
24‧‧‧金屬導線
26‧‧‧過孔
28‧‧‧第一測試導線
30‧‧‧第二測試導線
32‧‧‧第一脊導線
34‧‧‧第一測試導線墊
36‧‧‧第二脊導線
38‧‧‧第二測試導線墊
40‧‧‧金屬層級
42‧‧‧層間介電質
50‧‧‧中間測試墊
52‧‧‧互連過孔
M1‧‧‧第一金屬層級
MN‧‧‧第二金屬層級
從下面參照附圖所作的本發明的各種態樣的詳細說明將更容易理解本發明的這些及其它特徵,該些 附圖顯示本發明的各種實施例,其中:
第1圖顯示依據本發明的實施例的IC測試結構在平面X-Y中的平面視圖。
第2圖顯示依據本發明的實施例的該IC測試結構在平面X-Z中的部分剖視圖。
第3圖顯示依據本發明的實施例的該IC測試結構在平面X-Z中的另一個部分剖視圖。
第4圖顯示依據本發明的實施例的另一個IC測試結構在平面X-Y中的平面視圖。
第5圖顯示依據本發明的實施例的又一個IC測試結構在平面X-Y中的平面視圖。
應當注意,本發明的附圖並非按比例繪製。該些附圖意圖僅顯示本發明的典型態樣,因此不應當被認為限制本發明的範圍。該些附圖中,相同的元件符號表示該些附圖之間類似的元件。
本發明的各種態樣可提供積體電路(IC)測試結構,該結構提供對錯誤或缺陷的敏感性,以及測試各種各樣測試狀況(例如是否存在過孔至過孔和/或過孔至導線故障)的能力。在一個實施例中,依據本發明的IC測試結構可包括監控鏈(作為一個元件),其第一端通過分別位於該IC的第一或第二金屬層級內的金屬導線與第二端電性連接。本文中所使用的術語“監控鏈”通常指由位於兩個或更多金屬層級層內的金屬導線及過孔組成的電子電 路,且其可被構造為包括蛇形結構。具有蛇形結構的監控鏈可包括例如橫向和/或垂直包覆其它電路元件的部分,從而提供與其它電路元件鄰近並電性隔離的電路。在材料失效的情況下,位於同一材料內的監控鏈可能斷開並因此在經受測試電壓時產生零電流。IC的該第一及第二金屬層級可相互垂直隔開,且該監控鏈本身可被設為蛇形監控鏈,其中,例如,該第一金屬層級內的金屬導線分別沿第一方向延伸,而該第二金屬層級內的各金屬導線可分別沿不同的第二方向延伸。除該監控鏈以外,該IC測試結構還可包括位於該第一金屬層級內但與該監控鏈電性絕緣的一條或多條第一測試導線,以使該第一測試導線基本平行於該第二金屬層級內的該監控鏈的該金屬導線延伸。該IC結構還可包括位於該第二金屬層級內並沿該第二方向延伸但與該監控鏈及該第一測試導線電性絕緣的一條或多條第二測試導線。
請參照第1圖,其顯示依據本發明的實施例的IC測試結構10的平面視圖。IC測試結構10可位於IC晶片12內,該IC晶片可在其中包括多個層,這些層中的至少兩層被分別設為第一及第二金屬層級。在第1圖(加上第4及5圖)中,位於第一金屬層級M1內的元件不用交叉影線表示,而位於第二金屬層級MN內的元件用交叉影線表示。金屬層級M1、MN的側剖視圖提供於第2及3圖中,並在本文中其它地方討論,以進一步說明。作為直接相鄰的金屬層級或者具有中間金屬及絕緣體層級(第1圖省略) 位於其間,第一與第二金屬層級M1、MN可相互垂直隔開(例如沿第2及3圖中所示的“Z”軸)。
IC測試結構10可包括監控鏈14,該監控鏈在第一端16與第二端18之間延伸,以形成具有蛇形路徑的連續電路,包括IC晶片12的第一金屬層級M1及第二金屬層級MN內的部分。第一端16可與第一測試墊20電性耦接,而第二端18可與第二測試墊22電性耦接。儘管第一端16與第一測試墊20顯示為位於第二金屬層級MN中而第二端18及第二測試墊22顯示為位於第一金屬層級M1中,但應當理解,監控鏈14可開始並結束於相同的金屬層級,但在其部分延伸穿過不同的金屬層級。監控鏈14可包括多條金屬導線24,各該金屬導線可由任意當前已知或以後開發的電性導電材料組成,包括例如銅(Cu)、鋁(Al)、銀(Ag)、金(Au)、其組合等。在操作期間,測試電流和/或電壓可施加於監控鏈14,以產生電性響應。自監控鏈14以及本文中所討論的IC測試結構10的其它導電部分所產生的該電性回應可包括與IC晶片12的狀態相關的任意類型資訊,例如特定導線或電路何時斷開,電阻變化發生於何時或何處等。測試過程中的該回應的任何變化可標示IC晶片12的結構問題,例如斷裂或翹曲。因此,關於電路的電性資訊可用以確定IC晶片12是否已在製造期間和/或部署後受損。例如通過編譯有關IC晶片12上的各電路和/或導線的回應資料,編譯自IC晶片12的各被測部分所獲得的回應資料可執行測試結果的處理,以指出電性行 為變化何時發生,並接著指出電性中斷是否是因為例如特定區域中的電性短路或材料失效。
一組金屬導線24可形成並位於電性絕緣或半導體材料層(例如半導體材料或電性絕緣介電材料區)內,以使金屬導線24在與其接觸的其它電性導電結構之間輸電。位於第一金屬層級M1內的金屬導線24可沿第一方向(例如平行於Y軸)延伸,而位於第二金屬層級MN內的金屬導線24可沿不同於該第一方向的第二方向(例如平行於X軸)延伸。儘管該第一與第二方向在第1圖中示例顯示為基本相互垂直,但應當理解,該第一與第二方向可相對彼此以任意非平行的角度取向。在不同金屬層級(例如第一及第二金屬層級M1、MN)內的金屬導線24可通過分別垂直延伸於第一與第二金屬層級M1、MN之間的過孔26相互電性連接。過孔26可由與各金屬導線24相同的電性導電材料組成,或者可由一種或多種不同的導電材料組成。過孔26以不同的交叉影線顯示,以標示各過孔26垂直延伸進入IC晶片12中。在一個實施例中,各過孔26可包括任意標準的導電金屬(例如銅),其上具有襯裡材料(未圖示),例如氮化鉭。
儘管監控鏈14可有效測量例如整個IC晶片12上的短路及開路,但第一與第二端16、18之間的監控鏈14的長度可影響監控鏈14對電流變化的敏感性,從而導致缺陷是否已發生的過度悲觀測量。為補償監控鏈14的這些屬性,IC測試結構10可包括位於IC晶片12內的 至少一條第一測試導線28以及至少一條第二測試導線30。一條或多條第一測試導線28可位於第一金屬層級M1內,沿該第一方向取向,也就是平行於金屬導線24。一條或多條第一測試導線28也可與監控鏈14電性絕緣,以在測試期間使其中的電流及電壓的行為獨立於監控鏈14。在包括多條第一測試導線28的情況下,第一脊導線32可將各第一測試導線28與第一測試導線墊34電性耦接,以測量例如一條或多條第一測試導線28與監控鏈14之間的電流和/或電壓降。第一脊導線32可基本沿該第二方向(例如平行於X軸)或垂直於和/或不同於第一測試導線28的方向的另一方向延伸。在包括多條第二測試導線30的情況下,IC測試結構10可包括與第二測試導線墊38電性耦接的第二脊導線36。第二脊導線36可沿該第一方向(例如平行於Y軸)延伸,或者可除此以外,沿垂直於和/或不同於第二測試導線30的方向延伸。各第二測試導線30可與一條或多條第一測試導線28及監控鏈14電性斷開,從而第二測試導線墊38可用以測量一條或多條第二測試導線30與監控鏈14之間的電流和/或電壓行為。
在一個實施例中,一條或多條第一測試導線28、第一脊導線32和/或第一測試導線墊34可分別位於相同的金屬層級(例如第一金屬層級M1)中。第二測試導線30、第二脊導線36和/或第二測試導線墊38可分別位於不同的金屬層級(例如第二金屬層級MN)中。一條或多條第一與第二測試導線28、30可分別在相應導線對24之間橫向 延伸,而保持與金屬導線24電性斷開。以此配置,一條或多條第一及第二測試導線28、30可延伸穿過位於監控鏈14內的中間金屬層級,從而降低IC測試結構10所需的空間量並提供額外的測試形式。第一及第二測試導線28、30可各自都不具有與其電性連接的過孔(例如過孔26),從而第一及第二測試導線28、30可構成單個金屬層級(例如第一或第二金屬層級M1、MN)內的自包含測試元件。在操作期間,除通過監控鏈14整體測量IC晶片12的屬性以外,通過在第一及第二測試墊20、22和/或第一及第二測試導線墊34、38上施加測試電壓來測量IC晶片12的特定部分,可測試IC晶片12中的故障有無。
請參照第2圖,其顯示IC測試結構10的部分側剖視圖。第一及第二金屬層級M1、MN可通過一個或多個中間金屬層級40(分別標記為例如M2、M3、M4、M5、MN-1)相互隔開。如符號MN及M1所暗示的那樣,金屬層的數目可依據所選擇的實施以及後端製程(BEOL)製造方法的任意要求而變化。IC測試結構10也可包括位於各中間金屬層級40之間的層間介電質42。各層間介電質42可包括一種或多種電性絕緣物質,包括但不限於:氮化矽(Si3N4),氧化矽(SiO2),氟化SiO2(FSG),氫化氧碳化矽(SiCOH),多孔SiCOH,硼-磷-矽酸鹽玻璃(BPSG),倍半矽氧烷,包括矽(Si)、碳(C)、氧(O)和/或氫(H)原子的碳(C)摻雜氧化物(也就是有機矽酸鹽),熱固性聚芳醚,SiLK(可從陶氏化學公司獲得的一種聚芳醚),包含可從JSR公司獲 得的聚合物材料的旋塗矽碳,其它低介電常數(<3.9)材料,或其層。在一些實施例中,還應當理解,不同的層間介電質42可由具有相應不同介電常數的不同材料組成。在一個實施例中,一個或多個過孔26可自一個金屬層級延伸至相鄰金屬層級,以使第一金屬層級M1(例如最低金屬層級)中的一條或多條金屬導線24可與第二金屬層級MN(例如IC晶片12的最高金屬層級)中的一條或多條金屬導線24電性連接。
請參照第3圖,其顯示本發明的一個實施例中的IC測試結構10的另一個部分剖視圖。在第3圖中,以虛線展示層間介電質42,以標示在第一與第二金屬層級M1、MN之間設置可變數目的中間金屬層級40及介電層42。監控鏈14可形成延伸穿過第一及第二金屬層級M1、MN的電路,過孔26將監控鏈14的各金屬導線24耦接在一起。在第一金屬層級M1內,一條或多條第一測試導線28可橫向位於一組第一金屬導線24之間,並可橫向延伸入和/或出該頁面的平面。類似地,第二測試導線30可橫向位於第二金屬層級MN內的一組第二金屬導線30之間,並可橫向延伸入和/或出該頁面的平面。
現在請參照第4圖,其顯示具有額外結構特徵的IC測試結構10的實施例。尤其,監控鏈14可選擇性地包括在監控鏈14的第一與第二端16、18之間與監控鏈14電性接觸的一個或多個中間測試墊50。各中間測試墊50可位於相同的金屬層級中(例如分別位於第一或第二金 屬層級M1、MN內)或者可位於不同的金屬層級內。例如,在IC晶片12中的任意過孔26和/或層間介電質42(第2、3圖)失效以後,通過降低監控鏈14上的總電壓降和/或允許部分測試監控鏈14,中間測試墊50可在IC測試結構10內提供額外的測試功能。通過增加IC晶片12內的測試元件的數目,且在第一及第二測試導線28、30保持與監控鏈14電性絕緣的情況下,中間測試墊50與第一和/或第二測試導線墊34、38一起可允許對IC晶片12的特定部分進行電性短路和/或洩漏測試。
請參照第5圖,其顯示IC測試結構10的另一個實施例。IC測試結構10可包括例如將第一端16的第一測試墊20與第二端18的第二測試墊22電性連接的監控鏈14。除一條或多條第一及第二測試導線28、30以外,IC測試結構10可包括互連過孔52,該互連過孔將各測試導線28、30與另一金屬層級電性連接。例如,互連過孔52可將第一金屬層級M1的一條或多條第一測試導線28與第二金屬層級MN的部分電性連接,同時互連過孔52可將第二金屬層級MN的一條或多條第二測試導線30與第一金屬層級M1的部分電性連接。儘管互連過孔52可將一條或多條第一及第二測試導線28、30與其它金屬層級電性連接,但各測試導線28、30可與監控鏈14保持電性絕緣,以避免形成電性短路或合併獨立測試元件。例如,如第5圖中所示,互連過孔52可在沒有垂直鄰近的測試導線28、30或監控鏈14的金屬導線24的位置接觸第一和/或第二測試 導線28、30。除其它以外,包括於IC測試結構10中的互連過孔52可允許在IC結構10內的過孔至過孔測試,從而提供額外的材料應力或失效測量。
與IC測試結構10的其它實施例一樣,互連過孔52可接觸分別位於例如兩條相應第一或第二測試導線28、30之間並與其基本平行延伸的多條第一及第二測試導線28、30的其中一條,以增加IC測試結構10的通用性及覆蓋率。還應當理解,如適當的話,可組合第4與5圖中所示的實施例,從而可在單個實施中一併提供中間測試墊50(第4圖)與互連過孔52。另外,一些測試導線28、30可在其上不具有互連過孔52,以保持監控鏈14與第一和/或第二測試導線28、30之間的電性隔離。
本文中所述的本發明的實施例可提供數個技術及商業優點,其中一些在本文中示例說明。為測量電性短路、開路和/或其它屬性例如介電可靠性,IC測試結構10的單個實施例可通過例如監控鏈14、一條或多條第一測試導線28以及一條或多條第二測試導線30提供多個測試源,同時降低監控鏈14及其它測試裝置上的寄生電壓降。另外,通過第一及第二測試導線28、30獨立監控IC晶片12的狀態的能力可提供有效確定監控鏈14內或(一般來說)IC晶片12內的電性短路或結構故障(例如監控鏈14產生無效響應(例如零電壓或電流))的位置的測試結構。還應當理解,依據本發明的實施例所執行的測試可結合提供組合邏輯的電路來實施和/或使用。例如,依據IC測試結 構10的設計及結構的預定屬性,與IC測試結構10電性耦接的邏輯電路或等同測試裝置可提供布林屬性來測試例如特定區域或層級仲介電材料擊穿的存在與否。
本文中所揭露的方法及結構提供就例如金屬層級之間的層間介電材料(例如層間介電質42)的數目/寬度等因素測試IC晶片12的域可行性的結構。尤其,IC測試結構10可在其中包括高濃度過孔(例如過孔26)的IC晶片12的區域中提供可測試結構。通過使用本文中所述的IC測試結構10,可在製造期間和/或以後測量所提出的IC晶片12的屬性,以確定例如產生較大域可行性的層間介電質42的尺寸或物理屬性。例如,如果監控鏈14在特定區域內中斷或斷裂,則一條或多條第一及第二測試導線28、30可用以在採取正確行動或設計修改以前確定失效發生的特定位置或位置組。
本文中所使用的術語僅是出於說明特定實施例的目的,並非意圖限制本發明。除非上下文中另外明確指出,否則本文中所使用的單數形式“一個”以及“該”也意圖包括複數形式。另外,應當理解,術語“包括”用於本說明書中時表明所述特徵、整體、步驟、操作、元件和/或元件的存在,但不排除存在或添加一個或多個其它特徵、整體、步驟、操作、元件、元件,和/或其群組。
所述申請專利範圍中的所有手段或步驟加功能元素的相應結構、材料、動作及等同物意圖包括結合具體請求保護的其它請求保護的元素執行該功能的任意結 構、材料或動作。本發明的說明用於示例及說明目的,而非意圖詳盡無遺或限於所揭露形式的揭露。許多修改及變更將對於本領域的普通技術人員顯而易見,而不背離本發明的範圍及精神。實施例經選擇及說明以最佳解釋本發明的原理及實際應用,並使本領域的普通技術人員能夠理解本發明針對不同的實施例具有適合所考慮的特定應用的不同變更。
10‧‧‧IC測試結構
12‧‧‧IC晶片
14‧‧‧監控鏈
16‧‧‧第一端
18‧‧‧第二端
20‧‧‧第一測試墊
22‧‧‧第二測試墊
24‧‧‧金屬導線
26‧‧‧過孔
28‧‧‧第一測試導線
30‧‧‧第二測試導線
32‧‧‧第一脊導線
34‧‧‧第一測試導線墊
36‧‧‧第二脊導線
38‧‧‧第二測試導線墊
M1‧‧‧第一金屬層級
MN‧‧‧第二金屬層級

Claims (20)

  1. 一種積體電路測試結構,包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;第一測試導線,位於該第一金屬層級內並沿第一方向延伸,其中,該第一測試導線與該監控鏈電性絕緣;以及第二測試導線,位於該第二金屬層級內並沿第二方向延伸,其中,該第二測試導線與該監控鏈及該第一測試導線電性絕緣,以及其中,該第一方向不同於該第二方向。
  2. 如申請專利範圍第1項所述的積體電路測試結構,其中,該第一測試導線包括位於該第一金屬層級內並沿該第一方向延伸的多條第一測試導線的其中一條,以及其中,各該多條第一測試導線與沿該第二方向延伸並位於該第一金屬層級內的第一脊導線電性連接。
  3. 如申請專利範圍第2項所述的積體電路測試結構,其中,該多條第一測試導線的至少其中一條橫向位於該多條金屬導線的其中兩條之間。
  4. 如申請專利範圍第2項所述的積體電路測試結構,其中,該第二測試導線包括位於該第二金屬層級內並沿該第二方向延伸的多條第二測試導線的其中一條,以及其 中,各該多條第二測試導線與沿該第一方向延伸並位於該第二金屬層級內的第二脊導線電性連接。
  5. 如申請專利範圍第4項所述的積體電路測試結構,其中,該多條第二測試導線的至少其中一條橫向位於該多條金屬導線的其中兩條之間。
  6. 如申請專利範圍第1項所述的積體電路測試結構,還包括在該監控鏈的該第一端及該第二端之間與該監控鏈電性耦接的測試墊。
  7. 如申請專利範圍第1項所述的積體電路測試結構,其中,各該第一及第二測試導線不具有與其電性連接的過孔。
  8. 如申請專利範圍第1項所述的積體電路測試結構,其中,至少一個中間金屬層級將該第一金屬層級與該第二金屬層級隔開。
  9. 一種積體電路測試結構,包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;第一測試導線,位於該第一金屬層級內並沿第一方向延伸,其中,該第一測試導線與該監控鏈電性絕緣;以及第二測試導線,位於該第二金屬層級內並沿第二方向延伸,其中,該第一方向不同於該第二方向; 互連過孔,與該第一測試導線及該第二測試導線的其中一條電性耦接,並自該第一金屬層級延伸至該第二金屬層級。
  10. 如申請專利範圍第9項所述的積體電路測試結構,其中,該第一測試導線包括位於該第一金屬層級內並沿該第一方向延伸的多條第一測試導線的其中一條,以及其中,各該多條第一測試導線與沿該第二方向延伸並位於該第一金屬層級內的第一脊導線電性連接。
  11. 如申請專利範圍第10項所述的積體電路測試結構,其中,該互連過孔與該多條第一測試導線的其中一條電性耦接,並橫向位於該多條金屬導線的其中兩條之間。
  12. 如申請專利範圍第10項所述的積體電路測試結構,其中,該互連過孔包括多個互連過孔的其中一個,各該多個互連過孔與該多條第一測試導線的其中一條耦接,以及其中,該多條第一測試導線的至少其中一條不具有與其電性連接的互連過孔。
  13. 如申請專利範圍第10項所述的積體電路測試結構,其中,該多條第一測試導線的至少其中一條橫向位於該多條金屬導線的其中兩條之間。
  14. 如申請專利範圍第10項所述的積體電路測試結構,其中,該第二測試導線包括位於該第二金屬層級內並沿該第二方向延伸的多條第二測試導線的其中一條,以及其中,各該多條第二測試導線與沿該第一方向延伸並位於該第二金屬層級內的第二脊導線電性連接。
  15. 如申請專利範圍第9項所述的積體電路測試結構,還包括在該監控鏈的該第一端及該第二端之間與該監控鏈電性耦接的測試墊。
  16. 如申請專利範圍第9項所述的積體電路測試結構,其中,至少一個中間金屬層級將該第一金屬層級與該第二金屬層級隔開。
  17. 一種積體電路測試結構,包括:監控鏈,其第一端通過分別位於第一金屬層級及第二金屬層級的其中一個內的多條金屬導線與第二端電性連接,其中,該第一金屬層級與該第二金屬層級垂直隔開;多條第一測試導線,分別位於該第一金屬層級內並沿第一方向延伸,其中,各該多條第一測試導線與該監控鏈電性絕緣並橫向位於該多條金屬導線的其中兩條之間;以及多條第二測試導線,分別位於該第二金屬層級內並沿第二方向延伸,其中,各該多條第二測試導線與該監控鏈電性絕緣並橫向位於該多條金屬導線的其中兩條之間,以及其中,該第一方向不同於該第二方向。
  18. 如申請專利範圍第17項所述的積體電路測試結構,還包括:第一脊導線,位於該第一金屬層級內並與該多條第一測試導線電性連接,其中,該第一脊導線沿該第二方向延伸;以及 第二脊導線,位於該第二金屬層級內並與該多條第二測試導線電性連接,其中,該第二脊導線沿該第一方向延伸。
  19. 如申請專利範圍第17項所述的積體電路測試結構,還包括多個互連過孔,分別與該第一測試導線及該第二測試導線的其中一條電性耦接,並自該第一金屬層級延伸至該第二金屬層級。
  20. 如申請專利範圍第17項所述的積體電路測試結構,其中,各該多條第一測試導線及該多條第二測試導線不具有與其電性連接的過孔。
TW105123871A 2015-09-23 2016-07-28 具有監控鏈與測試導線之積體電路測試結構 TWI601222B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/862,587 US9435852B1 (en) 2015-09-23 2015-09-23 Integrated circuit (IC) test structure with monitor chain and test wires

Publications (2)

Publication Number Publication Date
TW201712772A TW201712772A (zh) 2017-04-01
TWI601222B true TWI601222B (zh) 2017-10-01

Family

ID=56878185

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123871A TWI601222B (zh) 2015-09-23 2016-07-28 具有監控鏈與測試導線之積體電路測試結構

Country Status (3)

Country Link
US (1) US9435852B1 (zh)
CN (1) CN107037350B (zh)
TW (1) TWI601222B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9627371B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US10643912B2 (en) * 2017-07-24 2020-05-05 Globalfoundries Inc. Chip package interaction (CPI) back-end-of-line (BEOL) monitoring structure and method
CN109698138B (zh) * 2018-12-24 2021-06-15 上海华力集成电路制造有限公司 半导体失效定位测试单元及其失效定位方法
US10930571B2 (en) * 2019-02-01 2021-02-23 Samsung Electronics Co., Ltd. Test structure and evaluation method for semiconductor photo overlay
KR20200122673A (ko) 2019-04-18 2020-10-28 삼성전자주식회사 패턴 디자인 및 상기 패턴 디자인을 검사하기 위한 방법
CN111722089B (zh) * 2020-07-01 2022-03-22 无锡中微亿芯有限公司 基于层次化测试向量的高效测试方法
US11682595B2 (en) 2020-09-23 2023-06-20 Western Digital Technologies, Inc. System and method for warpage detection in a CMOS bonded array
CN114244402A (zh) * 2022-01-11 2022-03-25 哲弗智能***(上海)有限公司 测试工装

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004031791A2 (en) * 2002-10-03 2004-04-15 Applied Materials Israel, Ltd. System and method for defect localization on electrical test structures
US20140015560A1 (en) * 2012-07-12 2014-01-16 Technoprobe S.P.A. Interface board of a testing head for a test equipment of electronic devices and corresponding probe head

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6396143B1 (en) * 1999-04-30 2002-05-28 Mitsubishi Gas Chemical Company, Inc. Ball grid array type printed wiring board having exellent heat diffusibility and printed wiring board
US6202191B1 (en) * 1999-06-15 2001-03-13 International Business Machines Corporation Electromigration resistant power distribution network
US6362634B1 (en) 2000-01-14 2002-03-26 Advanced Micro Devices, Inc. Integrated defect monitor structures for conductive features on a semiconductor topography and method of use
US6576923B2 (en) 2000-04-18 2003-06-10 Kla-Tencor Corporation Inspectable buried test structures and methods for inspecting the same
SE519893C2 (sv) * 2000-11-09 2003-04-22 Ericsson Telefon Ab L M Induktorstruktur hos integrerad krets samt icke-förstörande mätning av etsningsdjup
US7198963B2 (en) 2003-04-16 2007-04-03 Kla-Tencor Technologies Corporation Methodologies for efficient inspection of test structures using electron beam scanning and step and repeat systems
KR100684221B1 (ko) * 2003-06-09 2007-02-22 제이에스알 가부시끼가이샤 이방 도전성 커넥터 및 웨이퍼 검사 장치
US7057296B2 (en) * 2003-10-29 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad structure
US7193296B2 (en) * 2004-01-26 2007-03-20 Yamaha Corporation Semiconductor substrate
US7026175B2 (en) 2004-03-29 2006-04-11 Applied Materials, Inc. High throughput measurement of via defects in interconnects
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
WO2007079477A2 (en) 2006-01-03 2007-07-12 Applied Materials Israel, Ltd Apparatus and method for test structure inspection
US7679384B2 (en) 2007-06-08 2010-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Parametric testline with increased test pattern areas
US8241927B2 (en) * 2009-10-14 2012-08-14 Global Foundries, Inc. Methods relating to capacitive monitoring of layer characteristics during back end-of the-line processing
US8618827B2 (en) * 2010-10-13 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of electrical and mechanical characteristics of low-K dielectric in a semiconductor device
US8717059B2 (en) * 2011-08-31 2014-05-06 Texas Instruments Incorporated Die having wire bond alignment sensing structures
US8623673B1 (en) 2012-08-13 2014-01-07 International Business Machines Corporation Structure and method for detecting defects in BEOL processing
US9059052B2 (en) 2013-05-16 2015-06-16 International Business Machines Corporation Alternating open-ended via chains for testing via formation and dielectric integrity

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004031791A2 (en) * 2002-10-03 2004-04-15 Applied Materials Israel, Ltd. System and method for defect localization on electrical test structures
US20140015560A1 (en) * 2012-07-12 2014-01-16 Technoprobe S.P.A. Interface board of a testing head for a test equipment of electronic devices and corresponding probe head

Also Published As

Publication number Publication date
TW201712772A (zh) 2017-04-01
CN107037350A (zh) 2017-08-11
CN107037350B (zh) 2019-10-01
US9435852B1 (en) 2016-09-06

Similar Documents

Publication Publication Date Title
TWI601222B (zh) 具有監控鏈與測試導線之積體電路測試結構
US20110074459A1 (en) Structure and method for semiconductor testing
US7692274B2 (en) Reinforced semiconductor structures
US8323990B2 (en) Reliability test structure for multilevel interconnect
US7764078B2 (en) Test structure for monitoring leakage currents in a metallization layer
US8754655B2 (en) Test structure, method and circuit for simultaneously testing time dependent dielectric breakdown and electromigration or stress migration
US7646207B2 (en) Method for measuring a property of interconnections and structure for the same
US8323991B2 (en) Method for detecting stress migration properties
US9875964B2 (en) Semiconductor device components and methods
US20080203388A1 (en) Apparatus and method for detection of edge damages
JP5529611B2 (ja) 半導体装置及び抵抗測定方法
CN112864131A (zh) 电迁移测试结构及电迁移测试方法
US7119545B2 (en) Capacitive monitors for detecting metal extrusion during electromigration
CN201022075Y (zh) 电子迁移率测试结构
KR102463139B1 (ko) 켈빈 저항 테스트 구조 및 그 제조 방법
US10937858B2 (en) Method for manufacturing semiconductor and structure thereof
JP2006084191A (ja) 半導体装置及びその検査方法
KR100638042B1 (ko) 캘빈 저항 검사용 패턴 및 이 패턴이 형성된 반도체 장치
US11994556B2 (en) Probe position monitoring structure and method of monitoring position of probe
US9524916B2 (en) Structures and methods for determining TDDB reliability at reduced spacings using the structures
CN114264926B (zh) 单侧引出电压测试焊盘的单通孔跨层型电迁移测试结构
US20240168084A1 (en) Semiconductor structure
JP2005223227A (ja) 半導体装置及び半導体装置評価方法
KR20130070124A (ko) 반도체 소자
JPH04262548A (ja) 半導体装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees