TWI599025B - 半導體影像感測元件與其形成方法 - Google Patents

半導體影像感測元件與其形成方法 Download PDF

Info

Publication number
TWI599025B
TWI599025B TW102114530A TW102114530A TWI599025B TW I599025 B TWI599025 B TW I599025B TW 102114530 A TW102114530 A TW 102114530A TW 102114530 A TW102114530 A TW 102114530A TW I599025 B TWI599025 B TW I599025B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
negative charge
image sensing
semiconductor
Prior art date
Application number
TW102114530A
Other languages
English (en)
Other versions
TW201344894A (zh
Inventor
丁世汎
賴志育
吳政達
杜友倫
王俊智
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201344894A publication Critical patent/TW201344894A/zh
Application granted granted Critical
Publication of TWI599025B publication Critical patent/TWI599025B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Description

半導體影像感測元件與其形成方法
本發明關於半導體材料與製程,更特別關於用於CMOS影像感測器之材料與製程。
積體電路(IC)技術持續改良,比如縮小元件尺寸以降低製作成本、增加元件積體密度、增加元件操作速度、與改善元件效能。可以理解的是,縮小尺寸的優點將直接改良IC元件。IC元件之一為影像感測元件,其具有畫素陣列(或格)以檢測光並記錄光強度(亮度)。畫素陣列以累積電荷的方式對應光強度。舉例來說,光強度越高,累積的電荷也越高。舉例來說,藉由其他電路可將累積電荷用於提供適當應用(如數位相機)所需的顏色與亮度。影像感測元件之一為背照式(BSI)影像感測元件。BSI影像感測元件可感測照射基板背面的光量,且基板支撐BSI影像感測元件的影像感測電路。畫素格係位於基板正面,而基板的厚度薄到可讓照射基板背面的光穿過基板後到達畫素格。與正照式(FSI)影像感測元件相較,BSI影像感測元件具有較高的填充因子與較低的破壞性干擾。由於元件尺寸改良,BSI技術可進一步改良BSI影像感測元件的影像品質。雖然現有的BSI影像感測元件與其形成方法可符合特定需求,然而在元件尺寸持續縮小的情況下,現有技術仍無法完全滿足所有 需求。
本發明一實施例提供一種半導體影像感測元件,包括:半導體基板,具有含p型掺質的p型區;多個射線感測區,位於與半導體基板之正面相鄰的p型區中;以及負電荷層,位於與射線感測區相鄰之p型區毗連處,其中負電荷層之總負電荷量大於約1E10/cm2
本發明一實施例提供一種方法,包括:提供基板,且基板具有正面表面與背面表面;形成光感測區於基板之正面表面中;形成負電荷層於基板之正面表面上;以及進行回火製程於基板上,其中回火製程後的負電荷層之總負電荷量大於約1E10/cm2
本發明一實施例提供一種方法,包括:提供基板,且基板具有正面表面與背面表面;形成感光區於基板之正面表面中;自背面表面薄化基板;以及形成負電荷層於薄化的基板之背面表面上,其中負電荷層之總負電荷量大於約1E10/cm2
C1、C2、C3、C4、Cx‧‧‧行
R1、R2、R3、R4、Ry‧‧‧列
100‧‧‧影像感測元件
110‧‧‧畫素
200‧‧‧積體電路元件
202‧‧‧基板
204‧‧‧正面表面
206‧‧‧背面表面
208‧‧‧負電荷襯墊
210‧‧‧感測單元
212‧‧‧射線
214‧‧‧感光區
216‧‧‧釘扎層
220‧‧‧轉移閘極
222‧‧‧重置閘極
224‧‧‧源極/汲極區
230‧‧‧MLI
232‧‧‧接點
234‧‧‧通孔
236‧‧‧線路
240‧‧‧隔離結構
242‧‧‧ILD層
244‧‧‧間隔物結構
246‧‧‧側壁間隔物
248‧‧‧矽化物阻障層
250‧‧‧載板晶圓
260‧‧‧背面處理層
270‧‧‧抗反射層
290‧‧‧彩色濾光片
295‧‧‧鏡片
300‧‧‧方法
301、303、305、307、309、311‧‧‧步驟
401、402、403、411、412、413‧‧‧數據點
第1圖係本發明多種實施例中,影像感測元件的上視圖;第2圖係本發明多種實施例中,包含影像感測元件之積體電路元件的剖視圖;第3圖係本發明多種實施例中,包含影像感測元件之積體電路元件的製作方法流程圖;以及第4圖係本發明多種實施例中,負電荷層與習知氧化矽之 總電荷比較圖,且習知氧化矽一般作為淺溝槽隔離(STI)之襯墊氧化物。
下述揭露內容提供的不同實施例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。舉例來說,若圖示中的元件翻轉時,原來某一元件位於另一元件下方的敘述將轉變為某一元件位於另一元件上方。如此一來,「下方」可定義為「上方」與「下方」。另一方面,元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
影像感測器的設計改良,除了最小化漏電流,還可維持優異的結構整合性與製程可行性。在本發明多種實施例中,負電荷層可用以圍繞影像感測區中的射線感測區。在製作元件的製程之中或之後(比如在高溫爐回火之前或之後),負電荷層的整體負電荷大於習知介電膜的整體負電荷。負電荷可在圍繞射線感測區之負電荷層與基板的p型區之間的界面之中或附近形成電洞累積。此電洞累積可降低暗電流及/或白畫素等問題。在沒有光線入射影像感測器時,仍流經影像感測器的電流即所謂的暗電流。過量的漏電流使畫素產生不正常的高訊 號,即所謂的白畫素。
在許多實施例中,射線感測區為光二極體。負電荷層取代一或多個介電膜,並位於包圍光二極體的位置。在某些實施例中,負電荷層取代淺溝槽隔離(STI)結構中的襯墊氧化物。在某些實施例中,負電荷層為側壁間隔物。在某些實施例中,負電荷層為矽化物阻障層,或矽化物阻障層與半導體基板的p型區之間的緩衝層。在某些實施例中,負電荷層作為用於一或多個電晶體閘極的閘極補償間隔物,且上述電晶體閘極位於光二極體上。負電荷層可額外作為半導體基板之背面上的背面表面層。
負電荷層為富含氧的氧化矽、高介電常數之金屬氧化物、或氮化物材料。在一或多個實施例中,富含氧的氧化矽之形成方法可為高度稀釋之矽烷電漿中的電感耦合電漿增強化學氣相沉積(ICPECVD)、低溫電漿輔助自由基氧化、遠端電漿、或微波電漿。與用以熱成長氧化矽的正電荷相較,負電荷層中的氧化物淨電荷為負值並與層厚度成函數關係。在多種實施例中,以包含中性氧自由基、氧離子、與電子的電漿種類氧化矽表面時將產生負電荷。電漿氧化製程條件為淨電荷之所以為負值的重要參數。上述製程條件包括低沉積速率與較薄的沉積層。如此一來,電漿氧化矽表面後形成的SiO2層將具有過剩氧,其累積的負電荷介於約5E9/cm2至1E13/cm2之間,或大於約1E10/cm2。換言之,其總電荷量(Qtot)介於-5E9/cm2至-1E13/cm2之間,或小於約-1E10/cm2
在一或多個實施例中,負電荷層為高介電常數之 金屬氧化物,比如氧化鋁、氧化鎂、氧化鈣、氧化鉿、氧化鋯、氧化釔、氧化鉭、氧化鍶、氧化鈦、氧化鑭、氧化鋇、或其他現有半導體沉積技術可用以形成高介電常數膜的金屬氧化物。高介電常數之金屬氧化物的沉積方法可為化學氣相沉積(CVD)技術或物理氣相沉積(PVD)技術。CVD製程可為電漿增強化學氣相沉積(PECVD)如ICPECVD、低壓化學氣相沉積(LPCVD)、或視情況採用電漿的原子層沉積(ALD)。藉由改變製程參數如流速與功率參數,並在沉積薄膜後處理薄膜,均有利於負電荷累積。上述方法形成的高介電常數之金屬氧化物膜具有富含氧的組成如負電荷的空隙氧原子,及/或懸吊/破損金屬氧化物鍵,且上述兩者均造成負電荷累積。負電荷累積約介於5E9/cm2至1E14/cm2之間,或大於約1E10/cm2。換言之,上述層狀物的總電荷量(Qtot)介於-5E9/cm2至-1E14/cm2之間,或小於約-1E10/cm2
在其他實施例中,負電荷層為氮化矽或氮化物介電材料。氮化物材料可為富含氮的氮化矽或其他富含氮的介電膜,比如氮化鉭、氮化鈦、氮化鉿、氮化鋁、氮化鎂、或其他現有半導體沉積技術可形成的其他金屬氮化物。氮化物材料的沉積方法可為CVD技術或PVD技術。CVD製程可為PECVD如ICPECVD、LPCVD、或視情況採用電漿的ALD。在某些實施例中,負電荷層為電漿氮化材料。若採用非電漿沉積技術沉積膜,在沉積製程之中或之後進行後處理製程(如含電漿的氮離子),會產生電漿氮化現象。電漿氮化形成的富含氮膜可累積負電荷。在某些實施例中,以氨進行熱處理或電漿處理可增加 負電荷。累積的負電荷介於約1E9/cm2至約1E13/cm2之間,或大於約5E9/cm2。換言之,負電荷層其總電荷量(Qtot)介於約-1E9/cm2至約-1E13/cm2之間,或小於約-5E9/cm2
第1圖與第2圖將用以說明本發明實施例中,具有多種負電荷層之背照式(BSI)CMOS影像感測器(CIS)元件。第1圖係本發明多種實施例中,影像感測元件100的上視圖。在此實施例中,影像感測元件為背照式(BSI)影像感測元件。影像感測元件100包含畫素110的陣列。每一畫素110排列成行(如C1至Cx)且排列成列(如R1至Ry)。用語「畫素」指的是包含將電磁射線轉換為電子訊號的結構的單元。上述結構可為光二極體與多種電路如多種半導體元件。畫素110可包含光二極體、互補式金氧半(CMOS)影像感測器、電荷耦合元件(CCD)感測器、主動感測器、被動感測器、其他感測器、或未來發展的影像感測元件。畫素110可設計為具有多種感測器型態。舉例來說,一組畫素110可為CMOS影像感測器,而另一組畫素110為被動感測器。此外,畫素110可包含彩色影像感測器及/或單色影像感測器。在一實施例中,每一畫素110為主動畫素感測器如CMOS影像感測器。在此實施例中,每一畫素110可包含光二極體如光閘型態的光二極體,以記錄光(射線)的強度或亮度。每一畫素110亦可包含多種半導體元件,比如多種電晶體如轉移電晶體、重置電晶體、源極隨耦電晶體、選擇電晶體、其他合適電晶體、或上述之組合。額外電路、輸入元件、及/或輸出元件可耦接至畫素陣列,以提供畫素110所需的操作環境與外部連接。舉例來說,畫素陣列可耦接至讀出電路及/或控制電 路。為簡化說明,本發明僅說明包含單一畫素的影像感測元件,然而此畫素以陣列方式形成第1圖中的影像感測元件100。
第2圖係本發明多種實施例中,積體電路元件200之剖視圖。在此實施例中,積體電路元件200包含背照式(BSI)影像感測元件。積體電路元件200可為積體電路(IC)晶片、系統單晶片(SoC)、或上述之部份。積體電路元件200包含多種被動與主動微電子構件,比如電阻、電容、電感、二極體、金氧半場效電晶體(MOSFET)、CMOS電晶體、雙極接面電晶體(BJT)、橫向擴散MOS(LDMOS)電晶體、高功率MOS電晶體、鰭狀場效電晶體(FinFET)、其他合適構件、或上述之組合。第2圖已簡化以利了解本發明概念。額外結構可附加至積體電路元件中,而積體電路元件200的其他實施例可省略或置換某些下述結構。
在第2圖中,積體電路元件200包含基板202,其具有正面表面204與背面表面206。在此實施例中,基板202為半導體基板如矽。在另一實施例中,基板202可為另一半導體元素如鍺及/或鑽石;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺合金、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。在一或多個實施例中,基板202為絕緣層上半導體(SOI)。基板202可為掺雜的磊晶層、組成漸變式的半導體層、及/或半導體層上的另一半導體層(比如矽鍺層上的矽層)。在此實施例中,基板202為p型基板,其p型掺質可為硼、鎵、銦、其他合適p型掺質、或上述之組合。由於此 積體電路元件200包含p型掺雜的基板,其掺雜組態與p型掺雜的基板一致。在一或多個實施例中,基板202為n型掺雜的基板,其掺雜組態與n型掺雜的基板一致(比如相反電性的掺雜組態)。n型掺雜的基板的n型掺質可為磷、砷、其他n型掺質、或上述之組合。在一或多個實施例中,不論基板的掺雜型態為何,基板202可包含多種p型掺雜區及/或n型掺雜區。掺雜製程可為多種技術或步驟的離子佈植或擴散。
基板202包含隔離結構240如局部氧化矽(LOCOS)及/或淺溝槽隔離(STI),以分開(或隔離)基板202之中或之上的多種區域及/或元件。舉例來說,隔離結構240可分隔相鄰的感測單元210。在此實施例中,隔離結構240為STI。隔離結構240包含負電荷襯墊208包圍絕緣材料,而絕緣材料可為氧化矽、氮化矽、氮氧化矽、其他絕緣材料、或上述之組合。隔離結構240的形成方法為先沉積或形成薄層的負電荷襯墊208,再以任何合適製程沉積絕緣材料。在一實施例中,形成STI的方法包含微影製程、蝕刻(如乾蝕刻、濕蝕刻、或上述之組合)溝槽於基板中、形成負電荷襯墊薄層208於溝槽中、以及將一或多個介電材料填入溝槽(如CVD製程)。在某些實施例中,填滿的溝槽可具有額外層狀物如熱氧化層(未圖示)夾設於絕緣材料與負電荷襯墊208之間。STI的形成方法可進一步包含化學機械研磨(CMP)製程,以回蝕刻並平坦化STI。
如前所述,積體電路元件200包含感測單元(或感測畫素)210。感測單元可感測入射的射線212其強度(亮度),而射線212由基板202的背面表面206入射。入射的射線可為可見 光。在另一實施例中,射線212為紅外光(IR)、紫外光(UV)、X-光、微波、其他合適的射線種類、或上述之組合。感測單元210係用以對應特定光波長,比如紅光、綠光、或藍光波長。換言之,感測單元210係用以檢測特定光波長的強度(亮度)。在此實施例中,感測單元210為畫素陣列中包含的畫素,請參考第1圖中的畫素陣列。在此實施例中,感測單元210可為光二極體,其包含感光區214與釘扎層216。感光區214為掺雜區,其具有形成於基板202中的n型及/或p型掺質,且掺質係沿著基板202的正面表面204形成。在此實施例中,感光區214為n型掺雜區。感光區214的形成方法可為擴散及/或離子佈植。釘扎層216係位於基板202之正面表面204中的掺雜層。舉例來說,此實施例之釘扎層216為p型佈植層。
感測單元210可進一步包含多種電晶體,比如具有轉移閘極220的轉移電晶體、具有重置閘極222的重置電晶體、源極隨耦電晶體(未圖示)、選擇電晶體(未圖示)、其他合適電晶體、或上述之組合。感光區214與多種電晶體(統稱為畫素電路)讓感測單元210得以檢測特定光波長的強度。額外電路、輸入元件、及/或輸出元件可提供感測單元210所需的操作環境及/或外部連接。
畫素電路中的多種電晶體閘極如轉換閘極220與重置閘極222,係位於基板202的正面表面204上。轉換閘極220係夾設於基板202的源極/汲極區224與感光區214之間,其通道區係定義於源極/汲極區224與感光區214之間。重製閘極222係夾設於基板202的源極/汲極區224之間,其通道區係定義於源 極/汲極區224之間。在此實施例中,源極/汲極區224為N+源極/汲極擴散區。源極/汲極區224可稱作浮置擴散區。轉換閘極220與重置閘極222具有閘極介電層與閘極的閘極堆疊。閘極介電層包含介電材料,比如氧化矽、高介電常數之介電材料、其他介電材料、或上述之組合。高介電常數之介電材料可為氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、其他高介電常數之介電材料、或上述之組合。閘極可為多晶矽及/或金屬如鋁、銅、鈦、鉭、鎢、鉬、氮化鉭、鎳矽化物、鈷矽化物、氮化鈦、氮化鎢、鈦鋁合金、氮化鈦鋁、碳氮化鉭、碳化鉭、氮化鉭矽、其他導電材料、或上述之組合。轉換閘極220與重置閘極222包含間隔物結構於閘極堆疊的側壁上。間隔物結構包含閘極補償間隔物及/或閘極側壁間隔物(如圖示之244),與側壁間隔物246。閘極補償間隔物係最接近閘極的層狀物,接著才是視情況形成的閘極側壁間隔物。在一或多個實施例中,間隔物結構244(比如閘極補償間隔物與閘極側壁間隔物)為負電荷層。間隔物結構244可為不同的氧化物。側壁間隔物246可為氮化矽、氮氧化矽、其他合適材料、或上述之組合的多層結構。轉換閘極220與重置閘極222的形成方法可為合適製程,包含沉積、微影圖案化、與蝕刻等製程。
在一或多個實施例中,矽化物阻障層位於畫素電路之部份電晶體與光二極體上。在形成矽化物於元件的其他部份中的半導體製程中,矽化物阻障層可阻障矽化物形成。在某些實施例中,不需金屬矽化物包圍光二極體以避免降低量子效 率。如此一來,絕緣材料的矽化物阻障層248係形成於部份電晶體(比如具有轉換閘極220的轉換電晶體與具有重置閘極222的重置電晶體)與光二極體上,以避免過渡金屬在金屬矽化物的形成製程中接觸露出的矽層。由於金屬不會與絕緣材料反應,矽化物阻障層可阻障矽化物形成。接著以蝕刻製程移除未反應的金屬。矽化物阻障層248為負電荷層,或者在矽化物阻障層248下方設置負電荷層作為緩衝層。在某些實施例中,矽化物阻障層248僅用以包圍光二極體而不覆蓋電晶體閘極(如重置閘極222與轉換閘極220)。在某些實施例中,矽化物阻障層248覆蓋光二極體附近的電晶體閘極(比如轉換閘極220),但不覆蓋重置閘極222。
積體電路元件200更包含MLI(多層內連線)230於基板202的正面表面204與感測單元210上。MLI 230耦接至BSI影像感測元件的多種構件如感測單元210,使BSI影像感測元件的多種構件可適當回應入射光(影像射線)。MLI 230具有多種導電結構,比如垂直內連線及/或水平內連線。上述垂直內連線包括接點232及/或通孔234,而上述水平內連線包括線路236。上述的導電結構如接點232、通孔234、與線路236包含導電材料如金屬。在一實施例中,金屬可為鋁、鋁/矽/銅合金、鈦、氮化鈦、鎢、多晶矽、金屬矽化物、或上述之組合。多種導電結構如接點232、通孔234、與線路236可稱作鋁內連線。鋁內連線之形成製程可為PVD、CVD、或上述之組合。多種導電結構如接點232、通孔234、與線路236的製作技術可包含光微影製程與蝕刻製程,可圖案化導電材料以形成垂直與水平連 線。其他製程亦可用以形成MLI 230,比如形成金屬矽化物的熱回火製程。用於多層內連線中的金屬矽化物可為鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、或上述之組合。在另一實施例中,多種導電結構如接點232、通孔234、與線路236可為銅多層內連線,其包含銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物、或上述之組合。銅內連線的形成方法可為PVD、CVD、或上述之組合。MLI 230的數目、材料、及/或尺寸不限於圖示的接點232、通孔234、與線路236。換言之,MLI 230可具有任何數目、材料、及或/尺寸的導電結構,端視積體電路元件200的設計需求。
MLI 230的多種導電結構如接點232、通孔234、與線路236係位於ILD(層間介電)層242中。ILD層242可為氧化矽、氮化矽、氮氧化矽、TEOS氧化物、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟化二氧化矽玻璃(FSG)、掺雜碳之氧化矽、Black Diamond®(購自美國加州之Sata Clara的Applied Materials)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、雙苯並環丁烷(BCB)、SiLK(購自美國密西根州之密德蘭的Dow Chemical)、聚亞醯胺、其他合適材料、或上述之組合。ILD層242可為多層結構。ILD層242之形成方法可為旋轉塗佈法、CVD、濃鍍、或其他合適製程。在一實施例中,MLI 230與ILD 242之形成方法可為積體製程如鑲嵌製程。上述鑲嵌製程可為雙鑲嵌製程或單鑲嵌製程。
載板晶圓250係置於基板202的正面表面204上。在 此實施例中,載板晶圓250係接合至MLI 230。載板晶圓250包含矽。在另一實施例中,載板晶圓250包含另一合適材料如玻璃。載板晶圓250可保護基板202之正面表面204上的多種結構(比如感測單元210),並提供基板202之背面表面206進行製程時所需的機械強度與支撐。
背面處理層260位於基板202的背面表面206中。在一或多個實施例中,背面處理層260為負電荷層,可包含佈植製程、擴散製程、回火製程、其他製程、或上述之組合形成的掺雜層。掺雜層可包含p型掺質如硼,且可為P+掺雜層。掺雜層可包含其他p型掺質,比如鎵、銦、其他p型掺質、或上述之組合。
積體電路元件200更包含其他結構於基板202之背面表面206上。舉例來說,抗反射層270、彩色濾光片290、與鏡片295係位於基板202的背面表面206上。在此實施例中,抗反射層270位於基板202之背面表面206與彩色濾光片290之間。抗反射層270可為介電材料如氮化矽、氮氧化矽、或高介電常數之金屬氧化物。
彩色濾光片290係位於基板202的背面表面206上,特別位於抗反射層270上,並對準感測單元210的感光區214。彩色濾光片290係用以讓預定波長的光穿過,並濾除其他波長的光。舉例來說,紅色波長、綠色波長、或藍色波長的光穿過彩色濾光片290後到達感測單元210。彩色濾光片290可為任何合適材料。在一實施例中,彩色濾光片290包含染料為主的高分子,使特定頻段的光得以穿越。在另一實施例中,彩色 濾光片包含樹脂或其他具有彩色染料的有機為主材料。
鏡片295位於基板202的背面表面206上,特別位於彩色濾光片290上,並對準感測單元210的感光區214。鏡片295與感測單元210及彩色濾光片290之間的位置可具有多種排列,使鏡片295可將入射的射線212聚焦於感測單元210之感光區214上。鏡片295可為合適材料,其形狀與尺寸取決於鏡片材料的折射率及/或鏡片與感測單元210之間的距離。在另一實施例中,可將彩色濾片290與鏡片295的位置顛倒,使鏡片295夾設於抗反射層270與彩色濾光片290之間。本發明之積體電路元件200,其彩色濾光片亦可夾設於鏡片層之間。
在實際操作中,積體電路元件200之設計為可接收自基板202之背面表面206入射的射線212。鏡片295可將入射的射線212導向彩色濾光片290,再穿過抗反射層270以達基板202與對應的感測單元210(特別是感光區214)。由於基板202之正面表面204上的多種元件結構(比如閘極電極)及/或金屬結構(比如MLI 230之接點232、通孔234、與線路236)不會阻擋光線,因此可最大化穿過彩色濾光片290後到達感測單元210的光量。如此一來,預定波長的光(比如紅光、綠光、或藍光)可到達感測單元210之感光區214。當轉換電晶體之轉換閘極220為「關閉」狀態,且感測單元210的感光區214照光時,感光區可產生並累積電子。當轉換閘極為「開啟」狀態,累積的電子(電荷)將轉換至源極/汲極區(浮置擴散區)224。源極隨耦電晶體(未圖示)可將電荷轉換為電壓訊號。在一或多個實施例中,轉換電荷為電壓前可開啟具有重置閘極222的重置電晶體,以將 源極/汲極區224設定至預定電壓。在一實施例中,釘扎層216與掺雜層260具有相同電位(比如基板202的電位),使感光區214完全消耗至釘扎電位(VPIN)。如此一來,感測單元210的電位將固定於定值(VPIN)。
第3圖係本發明多種實施例中,製作具有影像感測元件之積體電路元件的方法流程圖。在第3圖中,方法300的起始步驟301提供基板,其具有正面表面與背面表面(或第一表面與第二表面)。基板為半導體基板,其包含矽,且可包含掺雜的磊晶層、組成漸變式的半導體層、及/或位於一半導體層上的另一不同半導體層(比如矽鍺層上的矽層)。在某些實施例中,基板為p型基板,其掺雜有硼、鎵、銦、其他合適的p型掺質、或上述之組合。
在步驟303中,形成感光區於基板之正面表面中。感光區包括光二極體。感光區係n型或p型掺質形成於基板中的掺雜區。感光區的形成幫法可為擴散及/或離子佈植。
在步驟305中,形成一或多個負電荷層於基板的正面表面上。至於負電荷層的形成步驟早於或晚於感光區的形成步驟,端視負電荷層的位置而定。在某些實施例中,負電荷層為淺溝槽隔離(STI)襯墊,其形成步驟早於光二極體的佈植步驟。蝕刻基板以形成溝槽於其中,再沉積負電荷襯墊於溝槽中以覆蓋溝槽之底部與側壁。接著將氧化矽填入STI中。在進行形成感光區之製程如佈植或擴散操作前,先以CMP製程平坦化基板。
一或多個負電荷層的形成步驟可晚於感光區的形 成步驟。先沉積閘極介電層再成長多晶矽或沉積閘極堆疊,以形成一或多個電晶體閘極包圍感光區。藉由蝕刻或移除不需要的部份,即可形成閘極。在某些實施例中,可沉積負電荷層的薄膜於閘極上,以作為補償或側壁間隔物於閘極上以包圍閘極。補償間隔物可對準用以形成電晶體之後續離子佈植,及/或光二極體之佈植製程的距離,此距離與補償間隔物自閘極側壁起算的厚度相等。在形成補償間隔物後可沉積另一負電荷層的薄膜以襯墊閘極間隔物,即沉積於負電荷層上後被蝕刻。接著進行另一離子佈植,以對準依閘極間隔物佈植的區域。
在某些實施例中,一或多個負電荷層可為矽化物阻障層下的緩衝層。如前所述,矽化物阻障層係用以避免金屬矽化物形成於光二極體上(或包圍光二極體)。在某些實施例中,負電荷層為矽化物阻障層。在其他實施例中,先形成負電荷層作為緩衝層,再沉積矽化物阻障層。
在步驟307中,進行回火於基板上以活化多種佈植掺質。回火製程在鈍氣環境中,將基板溫度加熱至大於約800℃。在某些實施例中,回火製程歷時數分鐘。在此實施例中,回火製程歷時超過半小時,或超過一小時。在一實施例中,回火製程的溫度為1100℃,歷時2小時。回火製程可降低一或多個負電荷層中累積的負電荷。在多種實施例中,回火製程後仍維持累積的負電荷。在回火製程後,累積的負電荷大於約1E10/cm2,或大於約4E11/cm2,或為約5E11/cm2
第4圖係係負電荷層與習知氧化矽(常用於STI中的襯墊氧化物)的總電荷比較圖。分別製備三種膜後將其置入高 溫爐,以1100℃回火2小時。樣品1與2為富含氧的氧化矽膜,其沉積法為低溫電漿的氧自由基氧化。樣品1與2在沉積後的總電荷量大於-150E10/cm2(見數據點401與402)。樣品1之總電荷量為約-200E10/cm2,見數據點401。在高溫爐回火後,樣品1與樣品2的總電荷量朝正值改變至約-50E10/cm2,見虛線箭頭與數據點411與412。高溫爐回火可修補懸吊鍵、破損鍵、或逃脫的空隙氧,上述動作均會使負電荷層損失負電荷。樣品3為一般用於襯墊STI結構的氧化矽膜。樣品3在沉積後的總電荷量大於50E10/cm2(見數據點403)。在回火後,樣品3的總電荷量朝負值稍微改變(見虛線箭頭與數據點413),然而回火後的樣品3仍無法與負電荷層相比。
由於製作元件的製程必需以回火活化掺質,因此如何維持基板正面上的負電荷層之負電荷是重要的。如第4圖之樣品1與2所示,若在沉積負電荷層前先進行回火製程,可讓負電荷層具有較大的負電荷量。因此在某些實施例中,可在回火製程後再沉積包含負電荷層的矽化物阻障層。
回到第3圖,在形成影像感測元件後,步驟309自基板的背面表面薄化基板。在形成末端線路製程(比如形成內連線結構與鈍化層)後,即完成影像感測元件。將載板晶圓黏結至基板的正面表面,再薄化基板背面。上述製程可採用多重步驟,比如研磨、拋光、與蝕刻(如乾蝕刻、濕蝕刻、或上述之組合)。
在步驟311中,可形成負電荷層於薄化的基板其背面表面上,以新增甚至取代p型掺雜層。背面表面上的負電荷 層其厚度可介於約10Å至約100Å之間,並位於抗反射層與光二極體(如感測單元)之間。
本發明提供多種方法與元件的實施例。舉例來說,半導體影像感測元件包括:半導體基板,其具有含p型掺質的p型區;多個射線感測區,位於與半導體基板之正面相鄰的p型區中;以及負電荷層,位於與射線感測區相鄰之p型區毗連處。在另一實施例中,形成半導體影像感測元件的方法包括:提供基板,且基板具有正面表面與背面表面;形成光感測區於基板之正面表面中;形成負電荷層於基板之正面表面上;以及進行回火製程於基板上。在某些實施例中,方法亦包括自背面表面薄化基板;以及沉積負電荷層於薄化的基板之背面表面上。負電荷層之總負電荷量大於約1E10/cm2
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
200‧‧‧積體電路元件
202‧‧‧基板
204‧‧‧正面表面
206‧‧‧背面表面
208‧‧‧負電荷襯墊
210‧‧‧感測單元
212‧‧‧射線
214‧‧‧感光區
216‧‧‧釘扎層
220‧‧‧轉移閘極
222‧‧‧重置閘極
224‧‧‧源極/汲極區
230‧‧‧MLI
232‧‧‧接點
234‧‧‧通孔
236‧‧‧線路
240‧‧‧隔離結構
242‧‧‧ILD層
244‧‧‧間隔物結構
246‧‧‧側壁間隔物
248‧‧‧矽化物阻障層
250‧‧‧載板晶圓
260‧‧‧背面處理層
270‧‧‧抗反射層
290‧‧‧彩色濾光片
295‧‧‧鏡片

Claims (7)

  1. 一種半導體影像感測元件,包括:一半導體基板,具有含p型掺質的一p型區,且該半導體基板之背面接收一入射光;多個射線感測區,位於與該半導體基板之一正面相鄰的該p型區中;以及一負電荷層,位於與該些射線感測區相鄰之該p型區毗連處,其中該負電荷層位於該半導體基板之正面上;其中該負電荷層之總負電荷量大於約1E10/cm2
  2. 如申請專利範圍第1項所述之半導體影像感測元件,其中該負電荷層係擇自富含氧的氧化矽、高介電常數之金屬氧化物、與氮化矽。
  3. 如申請專利範圍第1項所述之半導體影像感測元件,其中該負電荷層係:一淺溝槽隔離結構中的一襯墊,且該淺溝槽隔離結構位於該些射線感測區之間;該半導體基板之該p型區上的一電晶體閘極之一側壁間隔物;該半導體基板之該p型區與一矽化物阻障層之間的一緩衝層;一矽化物阻障層;或一電晶體閘極間隔物上的一補償間隔物。
  4. 如申請專利範圍第1項所述之半導體影像感測元件,其中該負電荷層之總負電荷量為約50E10/cm2
  5. 一種半導體影像感測元件的形成方法,包括:提供一基板,且該基板具有一正面表面與一背面表面;形成一光感測區於該基板之該正面表面中;形成一負電荷層於該基板之該正面表面上;進行一回火製程於該基板上;自該背面表面薄化該基板;以及沉積另一負電荷層於薄化之該基板的該背面表面上,其中該回火製程後的該負電荷層之總負電荷量大於約1E10/cm2
  6. 如申請專利範圍第5項所述之半導體影像感測元件的形成方法,其中形成該負電荷層之步驟包括:以一低溫電漿輔助自由基氧化製程沉積一富含氧的氧化矽;以一原子層沉積製程沉積一高介電常數之金屬氧化物;或以電漿輔助氮化製程沉積一氮化矽。
  7. 如申請專利範圍第5項所述之半導體影像感測元件的形成方法,其中該負電荷層係擇自富含氧之氧化矽、高介電常數之金屬氧化物、或氮化矽。
TW102114530A 2012-04-25 2013-04-24 半導體影像感測元件與其形成方法 TWI599025B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261638139P 2012-04-25 2012-04-25
US13/743,979 US9659981B2 (en) 2012-04-25 2013-01-17 Backside illuminated image sensor with negatively charged layer

Publications (2)

Publication Number Publication Date
TW201344894A TW201344894A (zh) 2013-11-01
TWI599025B true TWI599025B (zh) 2017-09-11

Family

ID=49476533

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102114530A TWI599025B (zh) 2012-04-25 2013-04-24 半導體影像感測元件與其形成方法

Country Status (4)

Country Link
US (2) US9659981B2 (zh)
JP (1) JP2013229606A (zh)
KR (1) KR101543504B1 (zh)
TW (1) TWI599025B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7057256B2 (en) 2001-05-25 2006-06-06 President & Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US7442629B2 (en) 2004-09-24 2008-10-28 President & Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US8692198B2 (en) 2010-04-21 2014-04-08 Sionyx, Inc. Photosensitive imaging devices and associated methods
US20120146172A1 (en) 2010-06-18 2012-06-14 Sionyx, Inc. High Speed Photosensitive Devices and Associated Methods
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
WO2013010127A2 (en) 2011-07-13 2013-01-17 Sionyx, Inc. Biometric imaging devices and associated methods
US9064764B2 (en) 2012-03-22 2015-06-23 Sionyx, Inc. Pixel isolation elements, devices, and associated methods
JP2014078543A (ja) * 2012-10-09 2014-05-01 Sony Corp 固体撮像装置および電子機器
KR20150130303A (ko) 2013-02-15 2015-11-23 사이오닉스, 아이엔씨. 안티 블루밍 특성 및 관련 방법을 가지는 높은 동적 범위의 cmos 이미지 센서
US9939251B2 (en) 2013-03-15 2018-04-10 Sionyx, Llc Three dimensional imaging utilizing stacked imager devices and associated methods
WO2014209421A1 (en) 2013-06-29 2014-12-31 Sionyx, Inc. Shallow trench textured regions and associated methods
US9812604B2 (en) * 2014-05-30 2017-11-07 Klaus Y. J. Hsu Photosensing device with graphene
US9812603B2 (en) * 2014-05-30 2017-11-07 Klaus Y. J. Hsu Photosensing device with graphene
KR102268714B1 (ko) 2014-06-23 2021-06-28 삼성전자주식회사 이미지 센서 및 이의 제조 방법
JP2016046336A (ja) * 2014-08-21 2016-04-04 ソニー株式会社 固体撮像素子および製造方法、並びに放射線撮像装置
US10177185B2 (en) 2015-05-07 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof
JP6986831B2 (ja) 2015-07-17 2021-12-22 株式会社半導体エネルギー研究所 半導体装置及び電子機器
JP6879919B2 (ja) * 2015-09-17 2021-06-02 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子、電子機器、及び、固体撮像素子の製造方法
US9847363B2 (en) * 2015-10-20 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a radiation sensing region and method for forming the same
US9954022B2 (en) 2015-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extra doped region for back-side deep trench isolation
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
KR102666073B1 (ko) 2016-12-28 2024-05-17 삼성전자주식회사 이미지 센서
KR102113041B1 (ko) * 2017-05-31 2020-05-20 세종대학교산학협력단 저잡음 후면 조사 이미지 센서 및 이의 제조 공정
US10056427B1 (en) * 2017-05-31 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Front side illuminated image sensor device structure and method for forming the same
KR20190011977A (ko) * 2017-07-26 2019-02-08 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
US10446555B2 (en) * 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10943942B2 (en) * 2017-11-10 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and method of forming the same
CN108598098A (zh) * 2018-04-25 2018-09-28 德淮半导体有限公司 背照式图像传感器及其制造方法
TWI840384B (zh) 2018-07-31 2024-05-01 日商索尼半導體解決方案公司 攝像裝置及電子機器
CN109192741B (zh) * 2018-08-23 2021-03-02 德淮半导体有限公司 背照式图像传感器的形成方法
US10867891B2 (en) * 2018-10-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Ion through-substrate via
KR102386104B1 (ko) 2018-12-21 2022-04-13 삼성전자주식회사 후면조사형 이미지 센서 및 이를 포함하는 전자 기기
US11482556B2 (en) * 2019-02-15 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Low-noise image sensor having stacked semiconductor substrates
CN111384077B (zh) * 2020-04-15 2021-03-16 上海尧凯电子技术有限公司 一种半导体传感器封装及其形成方法
CN112420769B (zh) * 2020-11-17 2024-02-02 运城学院 有机彩色图像传感器的制备方法及彩色图像传感器
CN112436026A (zh) * 2020-12-06 2021-03-02 联合微电子中心有限责任公司 减少背照式图像传感器暗电流的方法及其传感器
CN116724401A (zh) * 2021-01-26 2023-09-08 国立大学法人静冈大学 固体拍摄装置以及固体拍摄装置的制造方法
US12015046B2 (en) 2021-02-05 2024-06-18 Kla Corporation Back-illuminated sensor with boron layer deposited using plasma atomic layer deposition
CN113410305B (zh) * 2021-06-15 2023-07-04 西安微电子技术研究所 一种抗辐射加固的ldmos晶体管和制备方法
US20240243143A1 (en) * 2023-01-12 2024-07-18 Kla Corporation Boron-Coated Back-Illuminated Image Sensor With Fluoride-Based Anti-Reflection Coating

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4779320B2 (ja) 2004-08-10 2011-09-28 ソニー株式会社 固体撮像装置およびその製造方法
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
KR100650369B1 (ko) * 2004-10-01 2006-11-27 주식회사 하이닉스반도체 폴리실리콘부유측벽을 갖는 비휘발성메모리장치 및 그제조 방법
US20070102736A1 (en) * 2005-11-04 2007-05-10 Cheng-Hsing Chuang Image sensor device and method for manufacturing the same
US7732844B2 (en) * 2006-11-03 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Crosstalk improvement through P on N structure for image sensor
JP5023768B2 (ja) 2007-03-30 2012-09-12 ソニー株式会社 固体撮像素子及びその製造方法
US20080258188A1 (en) * 2007-04-23 2008-10-23 United Microelectronics Corp. Metal oxide semiconductor device and method of fabricating the same
TWI413240B (zh) * 2007-05-07 2013-10-21 Sony Corp A solid-state imaging device, a manufacturing method thereof, and an image pickup device
JP2009170781A (ja) * 2008-01-18 2009-07-30 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2009188231A (ja) 2008-02-07 2009-08-20 Sony Corp 固体撮像装置およびその製造方法
JP5136110B2 (ja) * 2008-02-19 2013-02-06 ソニー株式会社 固体撮像装置の製造方法
US20090230488A1 (en) * 2008-03-17 2009-09-17 Sony Corporation Low dark current image sensor
JP4793402B2 (ja) 2008-04-21 2011-10-12 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器
JP5374980B2 (ja) 2008-09-10 2013-12-25 ソニー株式会社 固体撮像装置
US20100148230A1 (en) * 2008-12-11 2010-06-17 Stevens Eric G Trench isolation regions in image sensors
JP4798232B2 (ja) 2009-02-10 2011-10-19 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器
JP4924634B2 (ja) 2009-03-04 2012-04-25 ソニー株式会社 固体撮像素子及びその製造方法、撮像装置
GB2475086B (en) * 2009-11-05 2014-02-05 Cmosis Nv Backside illuminated image sensor
JP5531580B2 (ja) * 2009-11-25 2014-06-25 ソニー株式会社 固体撮像装置、および、その製造方法、電子機器
JP5050063B2 (ja) * 2010-01-20 2012-10-17 株式会社東芝 固体撮像装置
JP2011249461A (ja) 2010-05-25 2011-12-08 Toshiba Corp 固体撮像装置
JP2012033583A (ja) 2010-07-29 2012-02-16 Sony Corp 固体撮像素子及びその製造方法、並びに撮像装置
JP5682174B2 (ja) 2010-08-09 2015-03-11 ソニー株式会社 固体撮像装置とその製造方法、並びに電子機器
US8378398B2 (en) * 2010-09-30 2013-02-19 Omnivision Technologies, Inc. Photodetector isolation in image sensors
JP2012094719A (ja) * 2010-10-27 2012-05-17 Sony Corp 固体撮像装置、固体撮像装置の製造方法、及び電子機器

Also Published As

Publication number Publication date
KR20130120411A (ko) 2013-11-04
US20170243903A1 (en) 2017-08-24
JP2013229606A (ja) 2013-11-07
US20130285130A1 (en) 2013-10-31
KR101543504B1 (ko) 2015-08-11
TW201344894A (zh) 2013-11-01
US10868050B2 (en) 2020-12-15
US9659981B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
TWI599025B (zh) 半導體影像感測元件與其形成方法
TWI556417B (zh) 半導體元件與其形成方法
US10008531B2 (en) Varied STI liners for isolation structures in image sensing devices
US9130077B2 (en) Structure of dielectric grid with a metal pillar for semiconductor device
US9786707B2 (en) Image sensor isolation region and method of forming the same
US9142709B2 (en) Process for enhancing image quality of backside illuminated image sensor
US9768214B2 (en) Structure of dielectric grid for a semiconductor device
US9041841B2 (en) Image sensor having enhanced backside illumination quantum efficiency
US10763289B2 (en) Light blocking layer for image sensor device
CN103378117B (zh) 具有负电荷层的背照式图像传感器
KR101412883B1 (ko) 이미지 디바이스 및 그 형성방법
TWI548074B (zh) 影像感測元件及其形成方法
US9601535B2 (en) Semiconducator image sensor having color filters formed over a high-K dielectric grid
US20130193539A1 (en) Method for Increasing Photodiode Full Well Capacity
US20130082342A1 (en) Polishing process for enhancing image quality of backside illuminated image sensor