TWI575393B - 產生包含標準元件及至少一個記憶體實體兩者的積體電路之佈局的方法 - Google Patents

產生包含標準元件及至少一個記憶體實體兩者的積體電路之佈局的方法 Download PDF

Info

Publication number
TWI575393B
TWI575393B TW102136580A TW102136580A TWI575393B TW I575393 B TWI575393 B TW I575393B TW 102136580 A TW102136580 A TW 102136580A TW 102136580 A TW102136580 A TW 102136580A TW I575393 B TWI575393 B TW I575393B
Authority
TW
Taiwan
Prior art keywords
memory
entity
compiler
polysilicon
standard
Prior art date
Application number
TW102136580A
Other languages
English (en)
Other versions
TW201419020A (zh
Inventor
揚葛斯
金凱德馬丁傑
佛瑞德瑞克馬林韋恩二世
Original Assignee
Arm股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Arm股份有限公司 filed Critical Arm股份有限公司
Publication of TW201419020A publication Critical patent/TW201419020A/zh
Application granted granted Critical
Publication of TWI575393B publication Critical patent/TWI575393B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

產生包含標準元件及至少一個記憶體實體兩者的積體電 路之佈局的方法
本發明係關於一種用於產生積體電路之佈局的技術,其中佈局合併界定積體電路之功能組件的標準元件及至少一個記憶體實體兩者,由記憶體編譯器產生該記憶體實體以界定積體電路之記憶體裝置。
積體電路包括晶片上記憶體裝置變得愈來愈常見,在一特定實例中,積體電路提供晶片上系統(System-on-Chip;SoC)。
當設計記憶體裝置時,存在可採用的兩個一般途徑。根據第一途徑,可為特定實施例定製設計記憶體裝置,此舉可帶來有效的設計。然而,此途徑之缺點為不能在不同實施例中再次使用彼設計,且因此此途徑成本較高。根據一替代途徑,開發記憶體架構來指定電路元件之定義及資料,該定義及資料界定用於組合彼等電路元件的規則,且隨後使 用記憶體編譯器工具產生彼記憶體架構之記憶體實體(亦即,具體實體化),以形成已考慮彼記憶體裝置之一些指定特性的所需記憶體裝置之設計。使用記憶體編譯器工具產生記憶體實體之該後一途徑為現今頗風列的技術,因為一旦界定記憶體架構,在已考慮任何特定系統中的記憶體裝置之需求的情況下可易於產生記憶體裝置之各種不同實體。
因此,當設計包括一或更多個晶片上記憶體裝置的SoC時,通常情況為將由記憶體編譯器產生一或多個所需記憶體實體,隨後將每一所產生的記憶體實體提供給用於產生積體電路之佈局的置放與佈線工具(place and route tool)。
置放與佈線工具為一種自動工具,該工具使用計畫積體電路之功能設計(例如,以閘位準網路列表或諸如可由Verilog模型提供之設計之寄存器傳送位準(Register Transfer Level;RTL)較高位準表示的形式)及提供標準元件之集合(該等標準元件界定功能組件及為用於根據功能設計將積體電路之佈局組合在一起的「構建區塊」)的元件庫,以便產生積體電路之佈局。若積體電路亦將包括一或更多個記憶體裝置,則置放與佈線工具需要將表示每一此類記憶體裝置的記憶體實體置放在佈局內且隨後將所需標準元件置放在一或多個記憶體實體周圍以便根據所指定的功能設計形成積體電路之佈局。
儘管如此,此類途徑可導致空間使用低效,造成包括一或更多個區域的佈局並未貢獻有用的功能性。此情況可(例如)歸因於在記憶體實體之邊界處存在浪費空間,該空 間之大小不足以容納標準元件;或歸因於需要在記憶體與標準元件之間的介面處提供分離結構,諸如在積體電路佈局之多晶矽層中可能需要分離結構。
此低效空間使用對積體電路所需的總面積作出貢獻,且大體上積體電路之面積愈大,積體電路之製造所涉及的成本就愈大。
隨著更多記憶體實體包括於積體電路中,隨著個別記憶體實體被製造得更小(其中浪費空間佔與記憶體實體相關聯的總面積之比例變得更大)及/或隨著現代資料處理系統中的製程幾何形狀縮小(因為通常隨著製程幾何形狀縮小,多晶矽層中的記憶體實體與標準元件之間所需的分離結構變得相對較大),此低效空間使用之成本關聯變得更加明顯。
因此,希望在佈局將合併標準元件及由記憶體編譯器產生的至少一個記憶體實體兩者的情況下提供一種用於產生積體電路之佈局的改良技術。
從第一態樣看,本發明提供一種產生積體電路之佈局的方法,該佈局合併界定積體電路之功能組件的標準元件及至少一個記憶體實體兩者,由記憶體編譯器產生該記憶體實體以界定積體電路之記憶體裝置,該方法包含:向記憶體編譯器提供記憶體架構,該記憶體架構指定電路元件之定義及資料,該定義及資料界定用於組合彼等電路元件的規則以便產生符合記憶體架構的記憶體實體;接收指定所欲記憶體實體之一或更多個特性的輸入資料;使用記憶體編譯器以產 生基於該輸入資料的所欲記憶體實體以使得所欲記憶體實體符合該記憶體架構;提供標準元件庫,標準元件庫內的每一標準元件界定對應功能組件;在記憶體編譯器之操作之整合增強模式中,引起記憶體編譯器引用標準元件庫之至少一個特性以便以一形式產生所欲記憶體實體,該形式將在彼所欲記憶體實體被整合至佈局中時減小與彼所欲記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積;以及藉由用從該標準元件庫中選擇之標準元件填充以第一方向延伸的標準元件列來產生佈局,以便提供積體電路所需之功能組件,並將由記憶體編譯器提供的所欲記憶體實體整合至佈局中。
根據本發明,記憶體編譯器具有操作之整合增強模式,在該模式中,於產生所欲記憶體實體之前,記憶體編譯器引用標準元件庫之至少一個特性。藉由考慮到標準元件庫之彼至少一個特性,記憶體編譯器隨後能夠以一形式產生所欲記憶體實體,該形式在彼所欲記憶體實體被整合至佈局中時將減小與彼所欲記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積。
標準元件庫存在眾多可由記憶體編譯器引用之特性。舉例而言,在一個實施例中,標準元件庫之標準元件之設計可為使得不允許標準元件與記憶體實體之邊緣之間存在自由空間但要求標準元件直接抵靠鄰接記憶體實體之邊緣。在彼情況下,記憶體編譯器可考慮彼特性以便以一形式產生所欲記憶體實體,該形式將減小多晶矽層內所需的多晶矽介面區域之寬度以分離相鄰標準元件與所欲記憶體實體。考慮 另一實例,由記憶體編譯器引用的標準元件庫之至少一個特性可為針對標準元件列所指定的高度,且藉由考慮到彼特性,可排列記憶體編譯器以以一形式產生所欲記憶體實體以使得將所欲記憶體實體之寬度約束為列高之整數倍,從而移除原本可能存在的任何浪費空間。
儘管在編譯器之操作期間可提供標準元件庫之上文論及的特性作為對記憶體編譯器的輸入,但是在一替代實施例中,可理解此特性並將此特性設計至記憶體編譯器及標準元件庫中,從而避免在操作期間需要對記憶體編譯器的任何此類輸入。
操作之整合增強模式可為記憶體編譯器之操作之唯一模式,或可為記憶體編譯器可用之眾多操作模式中之一者。
在一個實施例中,該方法進一步包含向記憶體編譯器提供操作之至少一個其他模式,在該模式中,當產生所欲記憶體實體時,記憶體編譯器不引用標準元件庫之該至少一個特性,導致相較於在操作之該整合增強模式中操作記憶體編譯器時與該邊界相關聯的額外負擔面積,當彼所欲記憶體實體被整合至佈局中時與該邊界相關聯的該額外負擔面積增加。藉由提供操作之至少一個其他模式,可確保反向相容性,從而(例如)在標準元件庫之特性使得不允許記憶體編譯器使用操作之整合增強模式的情況下允許使用記憶體編譯器。
在一個實施例中,佈局包括多晶矽層,該多晶矽層包含以第二方向延伸穿過多晶矽層的複數個多晶矽軌道,該第二方向垂直於標準元件列延伸的該第一方向。多晶矽層包 括將所欲記憶體實體與相鄰標準元件分離的至少一個多晶矽介面區域,每一該至少一個多晶矽介面區域提供以該第一方向延伸的分離距離。在操作之該整合增強模式中由記憶體編譯器引用的標準元件庫之該至少一個特性可為指示彼標準元件庫之標準元件之設計要求標準元件直接抵靠鄰接以該第二方向延伸的所欲記憶體實體之邊緣之特性。若如此,在記憶體編譯器之操作之該整合增強模式中,記憶體編譯器以一形式產生所欲記憶體實體,該形式在彼所欲記憶體實體被整合至佈局中時將減小多晶矽介面區域之分離距離。
多晶矽介面區域可採取各種形式。然而,在一個實施例中,每一該至少一個多晶矽介面區域包含以該第二方向延伸的虛設多晶矽軌道,且在記憶體編譯器之操作之該整合增強模式中,記憶體編譯器以一形式產生所欲記憶體實體,該形式將減少多晶矽介面區域中虛設多晶矽軌道之數目。因此,在此類實施例中,由於已知標準元件庫已約束標準元件之設計使得需要標準元件直接抵靠鄰接以第二方向延伸的所欲記憶體實體之邊緣,因此可減少記憶體編譯器需要與所欲記憶體實體相關聯地產生的虛設多晶矽軌道之數目,使得多晶矽介面區域之分離距離總體減小。
在一個特定實施例中,每一該至少一個多晶矽介面區域包含與所欲記憶體實體相關聯的第一介面子區域及與標準元件相關聯的第二介面子區域。指示彼標準元件庫之標準元件之設計要求標準元件直接抵靠鄰接以該第二方向延伸的所欲記憶體實體之邊緣的特性為識別於第二介面子區域中提 供的虛設多晶矽軌道之數目減少的特性。在記憶體編譯器之操作之整合增強模式中,記憶體編譯器以一形式產生所欲記憶體實體,相較於若在產生所欲記憶體實體時記憶體編譯器不引用標準元件庫之該至少一個特性的操作之至少一個其他模式中操作記憶體編譯器將提供的虛設多晶矽軌道,該形式在第一介面子區域中具有更少的虛設多晶矽軌道。因此,在此類配置中,藉由對標準元件設計施加約束,及當使用記憶體編譯器產生記憶體實體時又考慮到彼約束,可實現第一介面子區域及第二介面子區域兩者中虛設多晶矽軌道之數目的減少,從而在積體電路之佈局中提供明顯的面積節省。
虛設多晶矽軌道可採取各種形式。在一個實施例中,在操作之該至少一個其他模式中,第一介面子區域將包括至少一個支援虛設軌道及至少一個終止虛設軌道作為該等虛設多晶矽軌道,終止虛設軌道在該第一方向具有一厚度,該厚度大於每一支援虛設軌道之厚度。然而,在記憶體編譯器之操作之該整合增強模式中,記憶體編譯器以一形式產生所欲記憶體實體,在該形式中第一介面子區域不包括終止虛設軌道。移除終止虛設軌道的能力產生一些明顯的空間節省。在一個特定實施例中,第二介面子區域亦不包括終止虛設軌道,因此進一步改良空間節省。
在很多佈局中,與所欲記憶體實體相關聯的多晶矽層部分內的多晶矽軌道之間距間隔(亦稱為「多晶間距」)不同於與標準元件相關聯的多晶矽層之區段內的多晶矽軌道的多晶間距。然而,在一個實施例中,將兩個區段中的多晶 間距排列成相同的,且在彼實施例中,當在操作之整合增強模式中操作記憶體編譯器時,能夠以一形式產生所欲記憶體實體,該形式將另外減少每一多晶矽介面區域中支援虛設軌道之數目。在一個特定實施例中,此類途徑可導致每一多晶矽介面區域內僅需要單個支援虛設軌道。
在沿標準元件列之長度部分置放記憶體實體,從而將眾多標準元件列分割成兩個部分的實施例中,第一多晶矽介面區域將形成於所欲記憶體實體之第一側上及第二多晶矽介面區域將形成於所欲記憶體實體之相對側上,第一側及相對側兩者以第二方向延伸。因此,在此類實施例中,可與兩個多晶矽介面區域相關聯實現上文論及的空間節省。
在一個特定實施例中,由於多晶矽軌道在記憶體實體之設計內延行的方向與多晶矽軌道在標準元件列內延行的方向相比,記憶體實體在置放在佈局內之前轉動90度,使得所欲記憶體實體之第一側形成記憶體實體之頂部及相對側形成記憶體實體之底部。記憶體實體內的記憶體元件之諸列隨後沿第二方向(亦即與標準元件列相切)且平列於多晶矽軌道延行。
除考慮標準元件庫之上文論及的特性的記憶體編譯器之外,或作為該記憶體編譯器的替代,記憶體編譯器可考慮由標準元件庫所指定的列高。詳言之,在一個實施例中,標準元件列具有以垂直於該第一方向的第二方向延伸的列高,由標準元件庫界定該列高。在操作之該整合增強模式中由記憶體編譯器引用的標準元件庫之至少一個特性可為該列 高,並且隨後在記憶體編譯器之操作之該整合增強模式中,記憶體編譯器以一形式產生所欲記憶體實體,在該形式中將該第二方向上所欲記憶體實體之寬度約束為列高之整數倍。
存在記憶體編譯器可約束所欲記憶體實體使得第二方向上的記憶體實體寬度為列高之整數倍的眾多方式。在一個實施例中,記憶體實體包含至少一個記憶體陣列及耦接至每一記憶體陣列的複數個邏輯電路,且在操作之整合增強模式中,記憶體編譯器將第二方向上的每一記憶體陣列之寬度約束為列高之整數倍。
在一個特定實施例中,由記憶體陣列之每一列內提供的記憶體元件之數目指示第二方向上的每一記憶體陣列之寬度,且在操作之整合增強模式中,記憶體編譯器約束每一記憶體陣列之每一列內提供的記憶體元件之數目,以使得該第二方向上的每一記憶體陣列之寬度為列高之該整數倍。
存在約束每一列內提供的記憶體元件之數目的眾多方式。舉例而言,在一個實施例中,可約束待儲存於記憶體陣列內的資料之可能字大小以便每一列內提供的記憶體元件之總數目確保第二方向上的記憶體陣列之寬度為列高之整數倍。詳言之,將約束每一列以儲存預定字數,其中每一字包含複數個位元,及其中每一位元儲存於一記憶體元件中。藉由於字大小之適宜約束,此舉將約束每一列中的記憶體元件之數目以使得彼數目僅可按單元增加,該等單元確保記憶體陣列之寬度等於列高之整數倍。類似地,可約束記憶體陣列之多工選項以確保每一列中的記憶體元件之數目僅可按單元 增長,將該等單元約束為列高之整數倍。舉例而言,若記憶體設計具有MUX-4配置,則每一列之長度每次僅可增加四個記憶體元件;若記憶體裝置具有MUX-8配置,則每一列之長度每次僅可增加八個記憶體元件,等等。藉由約束多工配置及/或可能字大小,則可確保諸列僅可按符合列高之倍數的增量增加。
或者或另外,記憶體編譯器可約束耦接至每一記憶體陣列的複數個邏輯電路之寬度,使得彼等邏輯電路在第二方向上具有一寬度,該寬度被約束為列高之整數倍。
除記憶體陣列及耦接至彼等記憶體陣列的相關邏輯電路之外,已知記憶體實體包括邊緣元件。在一個實施例中,在操作之整合增強模式中,記憶體編譯器選擇該第二方向上的邊緣元件之寬度以使得將該第二方向上的所欲記憶體實體之寬度約束為列高之整數倍。代替用於將記憶體實體之寬度約束為列高之整數倍之前述措施或除前述措施之外,可使用對邊緣元件寬度的此約束。
從第二態樣看,本發明提供一種儲存記憶體編譯器電腦程式的儲存媒體,該記憶體編譯器電腦程式用於控制電腦自與記憶體編譯器電腦程式相關聯的記憶體架構產生所欲記憶體實體,該記憶體架構指定電路元件之定義及資料,該定義及資料界定用於組合彼等電路元件的規則,該記憶體編譯器電腦程式具有操作之整合增強模式,在該模式中,在執行產生積體電路之佈局之方法期間,佈局合併界定積體電路之功能組件的標準元件及界定積體電路之記憶體裝置的至少 一個記憶體實體,配置記憶體編譯器電腦程式以引用界定該等標準元件的標準元件庫之至少一個特性,以便以一形式產生所欲記憶體實體,該形式在彼所欲記憶體實體被整合至佈局中時將減小與彼所欲記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積。在一個實施例中,儲存媒體可採取非暫時儲存媒體的形式。
10‧‧‧基板
15‧‧‧擴散區域
20‧‧‧多晶矽軌道
25‧‧‧多晶矽層
30‧‧‧介入層
35‧‧‧金屬1(M1)層
40‧‧‧其他介入層
45‧‧‧金屬2(M2)層
100‧‧‧多晶矽層
105‧‧‧標準元件列
107‧‧‧列高/標準元件庫高度
110‧‧‧記憶體實體
115‧‧‧區域/多晶矽介面區段
115a‧‧‧第一子區域
115b‧‧‧第二子區域
120‧‧‧浪費空間
125‧‧‧多晶矽軌道
130‧‧‧多晶矽軌道
150‧‧‧鄰接標準元件
155‧‧‧終止多晶矽軌道
160‧‧‧支援多晶矽軌道
165‧‧‧支援多晶矽軌道
170‧‧‧第一主動多晶矽軌道
175‧‧‧終止多晶矽軌道
180‧‧‧支援多晶矽軌道
185‧‧‧支援多晶矽軌道
190‧‧‧第一主動多晶矽軌道
200‧‧‧邏輯表示/Verilog模型
205‧‧‧標準元件庫
210‧‧‧記憶體架構
215‧‧‧記憶體編譯器
217‧‧‧路徑
219‧‧‧路徑
220‧‧‧置放與佈線工具
300‧‧‧步驟
305‧‧‧步驟
310‧‧‧步驟
315‧‧‧步驟
320‧‧‧步驟
325‧‧‧步驟
330‧‧‧步驟
335‧‧‧步驟
340‧‧‧步驟
345‧‧‧虛線框
350‧‧‧標準元件
355‧‧‧標準元件
360‧‧‧終止多晶矽軌道
365‧‧‧支援多晶矽軌道
370‧‧‧標準元件
375‧‧‧標準元件
400‧‧‧鄰接標準元件
405‧‧‧支援多晶矽軌道
410‧‧‧第一主動多晶矽軌道
415‧‧‧支援多晶矽軌道
420‧‧‧第一主動多晶矽軌道
425‧‧‧支援多晶矽軌道
450‧‧‧記憶體實體
455‧‧‧記憶體實體之頂部
460‧‧‧記憶體實體之底部
465‧‧‧記憶體陣列
470‧‧‧字線驅動器
475‧‧‧列多工器及感測放大器
480‧‧‧控制電路系統
485‧‧‧輸出資料路徑
490‧‧‧邊緣元件
495‧‧‧邊緣元件
497‧‧‧記憶體實體寬度
507‧‧‧標準元件列高
510‧‧‧記憶體實體
515‧‧‧多晶矽介面區段
515a‧‧‧與記憶體實體相關聯的子區域
515b‧‧‧與標準元件相關聯的子區域
600‧‧‧通用電腦
602‧‧‧中央處理單元
604‧‧‧隨機存取記憶體
606‧‧‧唯讀記憶體
608‧‧‧網路介面卡
610‧‧‧硬碟驅動機/硬碟
612‧‧‧顯示驅動器
614‧‧‧監視器
616‧‧‧使用者輸入/輸出電路
618‧‧‧鍵盤
620‧‧‧滑鼠
參考隨附圖式中所圖示之本發明之實施例,將僅以舉例方式進一步描述本發明,在該等圖式中:第1圖為示意地圖示用於構造積體電路的層之圖解;第2A圖示意地圖示記憶體實體在根據產生佈局的先前技術的積體電路佈局之多晶矽層內可佔據之面積;第2B圖示意地圖示如何在第2A圖之多晶矽層內形成多晶矽軌道;第3圖圖示根據已知先前技術的在第2A圖中所示之多晶矽介面區段內所需的終止多晶矽軌道及支援多晶矽軌道;第4圖為示意地圖示用於產生積體電路之佈局的一個實施例之佈局產生系統之方塊圖;第5圖為圖示根據一個實施例之第4圖之佈局產生系統之操作的流程圖;第6A圖圖示先前技術之鄰接標準元件,且第6B圖圖示在一個實施例中所使用之對應鄰接標準元件; 第7圖圖示根據一個實施例之多晶矽介面區段內所需之多晶矽軌道;第8圖圖示根據一個實施例的單個支援多晶矽軌道,該單個支援多晶矽軌道可為將多晶矽層內的標準元件區域與記憶體實體區域分離所需,在該實施例中,記憶體實體區域及標準元件區域兩者的多晶間距相同;第9圖示意地圖示根據一個實施例的由記憶體編譯器產生的記憶體實體之配置;第10圖示意地圖示當使用根據一個實施例的產生佈局之方法時記憶體實體在積體電路佈局之多晶矽層內可佔據之面積;以及第11圖為電腦系統之圖解,可在該電腦系統上執行適宜電腦程式以產生根據上文所描述之實施例的積體電路之佈局。
如第1圖中示意地圖示,積體電路可由在諸如矽基板之基板上建立的複數個層形成。詳言之,在基板10中或基板10上形成一或更多個擴散區域15,在該等擴散區域15上方提供多晶矽層25。在多晶矽層內形成複數個多晶矽軌道20。使用第1圖之標注維度,該等軌道以X方向延行穿過多晶矽層25。
在多晶矽層上方提供金屬1(M1)層35,在一些實施例中,可藉由一或更多個介入層30將M1層與多晶矽層25分離。隨後,藉由一或更多個其他介入層40將M1層35與金 屬2(M2)層45分離,可根據需要穿過介入層40建立通孔。當產生積體電路之佈局時,將建立該等層之每一者之佈局。出於描述以下實施例之目的,將特別考慮多晶矽層25,且詳言之,將論述眾多空間節省措施,在積體電路之佈局之產生期間可使用該等措施減小原本會在記憶體實體與相鄰標準元件之間的介面上發生的面積低效。
在第2A圖中示意地圖示此類面積低效。如第2A圖所示,提供在多晶矽層之Y維度上延伸之眾多標準元件列105。在多晶矽層100內,提供在X維度上延伸之複數個多晶矽軌道。第2B圖示意地圖示該等軌道。在與記憶體實體110相關聯的面積內,多晶矽軌道125實質上延伸跨越在X維度上的記憶體實體之整個寬度,在極限處通常存在小間隙以使得多晶矽軌道不延伸整個寬度。然而,取決於所使用的製程節點,介面上的彼等多晶矽軌道可延伸整個寬度。又,在一些情況下,在佈局中將多晶矽軌道拉伸整個寬度及添加額外層以指示應在何處切割。類似地,在每一標準元件列內,提供複數個多晶矽軌道130,亦在第2B圖中示意地圖示該等多晶矽軌道。通常多晶矽軌道130在X維度上延伸實質上列高107之距離,但是在每一末端處留下小間隙以使得一個標準元件列中的多晶矽軌道不鄰接相鄰標準元件列中的多晶矽軌道。然而,在介面上,多晶矽軌道通常將延伸跨越每一標準元件列之整個寬度,以便在X維度上形成一或更多個連續多晶矽軌道。在一些實施例中,可在佈局中將多晶矽軌道拉伸整個寬度及使用特定切割層以切割元件之頂部及底部上(不 在與記憶體實體的介面上)的多晶矽軌道。
如參看第3圖將更詳細地論述,多晶矽軌道之實際定位及在記憶體實體區域與標準元件區域之間的介面上的彼等多晶矽軌道之形式可變化。然而,如第2A圖中圖示之區域115示意地圖示,在記憶體實體110與標準元件區域之間需要多晶矽介面區段(亦稱為終止區域),在該標準元件區域中可實體化體現有用功能組件的標準元件。多晶矽介面區段115包含與記憶體實體相關聯的第一子區域115a及與標準元件相關聯的第二子區域115b。如第3圖中之實例所示,第一子區域115a通常包括眾多終止多晶矽軌道及支援多晶矽軌道。在第3圖之實例中,在與記憶體實體相關聯的第一子區域115a內提供單個終止多晶矽軌道175及兩個支援多晶矽軌道180、185。隨後由適合於記憶體實體的多晶間距距離將第一主動多晶矽軌道190與支援多晶矽軌道185分離(在一個特定實施例中,此多晶間距為90nm)。在第3圖中,僅圖示在長度上對應於標準元件列高的該等各種軌道175、180、185、190之部分。
在與標準元件相關聯的子區域115b內,鄰接標準元件150可用於提供適合於彼子區域的所需終止多晶矽軌道及支援多晶矽軌道。在第3圖所示之實例中,提供單個終止多晶矽軌道155以及兩個支援多晶矽軌道160、165。隨後可抵靠鄰接標準元件150置放界定標準元件列之第一有用功能組件的標準元件,且該標準元件將包括第一主動多晶矽軌道170,藉由可適用於所使用的標準元件設計之多晶間距距離分 離第一主動多晶矽軌道170與支援多晶矽軌道165(在第3圖之實例中,此多晶間距為78nm)。
根據第3圖中所示之先前技術途徑,不存在必須直接抵靠記憶體元件實體置放標準元件的約束,且甚至對於標準元件列中的至少一些,在記憶體實體之邊緣與彼標準元件列內置放的第一標準元件之間可存在間隙(通常彼第一標準元件係鄰接標準元件)。
第3圖中示意地所示之各種終止多晶矽軌道及支援多晶矽軌道導致多晶矽介面區段115在Y維度上具有明顯寬度。如第2A圖所示,通常在記憶體實體之兩側上需要此類多晶矽介面區段(假定將要在兩側上置放標準元件),及積體電路設計內實體化的每一記憶體實體將需要此類區段。因此,多晶矽介面區段之存在可引起積體電路內的較大的面積需求。
進一步,X維度上的記憶體實體之寬度將取決於記憶體編譯器用以產生彼記憶體實體的記憶體架構,且提供給記憶體編譯器的輸入資料指定彼記憶體實體之所欲特性。根據先前技術,X維度上的記憶體實體之寬度將與標準元件列高107無關聯,且因此可引起第2A圖中所示之浪費空間120(通常此浪費空間存在於記憶體實體之兩側上)。詳言之,此浪費空間在X維度上具有不足以容納標準元件之寬度,且因此保持未使用狀態。此浪費空間之大小將取決於實施例變化,但是將與積體電路佈局內提供的每一記憶體實體相關聯地存在。純粹出於提供具體實例之目的,考慮特定製程節點, 9軌道庫的標準元件列高為576nm。由製造廠提供的記憶體實體之位元元件設計通常不與庫高度對齊,且因此記憶體實體寬度與標準元件列高無關。在最壞情況中,若記憶體實體寬度為576 x n+1nm,則此寬度導致記憶體實體邊界處575nm之不可置放標準元件的浪費空間。
第4圖為示意地圖示經排列以產生積體電路之佈局的一個實施例之系統之方塊圖,在該佈局中與記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積相對於參看第2A圖於上文所描述之額外負擔面積減小了。如第4圖所示,記憶體編譯器215具有記憶體架構210,該記憶體架構210指定電路元件之定義及資料,該定義及資料界定用於組合彼等電路元件的規則以便產生符合記憶體架構的記憶體實體。隨後將所欲記憶體實體之特性輸入記憶體編譯器215,通常經由記憶體編譯器之圖形使用者介面(graphical user interface;GUI)實施輸入。如熟習此項技術者將瞭解,可由各種輸入參數指定所欲記憶體實體之特性,彼等輸入參數(例如)界定記憶體陣列之大小、記憶體陣列之多工配置、各種可選特徵(諸如功率閘控特徵、待支援之內建式自檢(built-in-self-test;BIST)模式等等)之選擇。
記憶體編譯器215隨後基於輸入參數及記憶體架構210產生所需記憶體實體。根據所描述之實施例,可經由路徑217選擇整合增強模式,該模式引起記憶體編譯器在產生記憶體實體時引用標準元件庫之特性。路徑219示意地圖示將彼標準元件庫特性提供給記憶體編譯器,該記憶體編譯器已考 慮在積體電路佈局之產生期間藉由置放與佈線工具220使用之已選擇標準元件庫205。儘管在第4圖之系統之操作期間可將標準元件庫之此特性動態地提供給記憶體編譯器,但是在一個實施例中,當設計記憶體編譯器時將彼特性靜態地提供給記憶體編譯器,且因此在操作期間標準元件庫205與記憶體編譯器215之間將不主動存在連結。
進一步,儘管在第4圖中假定可由路徑217選擇整合增強模式,但是在一替代實施例中,可配置記憶體編譯器為總是在操作之整合增強模式中操作,從而排除對路徑217上模式選擇訊號的需要。
置放與佈線工具220具有指定所計畫積體電路之功能設計的邏輯表示200。在一個實施例中,此表示可採取閘位準網路列表的形式,不過在一替代實施例中,此表示可採取諸如可由Verilog模型提供之設計之寄存器傳送位準(RTL)較高位準表示的形式。另外,置放與佈線工具220具有提供標準元件之集合的標準元件庫205,該等標準元件界定功能組件且為用於根據功能設計組合積體電路之佈局的構建區塊。另外,置放與佈線工具220將接收由記憶體編譯器215產生的任何記憶體實體用於合併在佈局內。
置放與佈線工具220隨後藉由用從標準元件庫205中選擇之標準元件填充標準元件列來產生積體電路之佈局,以便提供如邏輯表示200所指定的積體電路所需之功能組件,及進一步將由記憶體編譯器215提供的一或多個所欲記憶體實體整合至佈局中。如參看剩餘圖式將於後文更詳細地 論述,當在操作之整合增強模式中操作記憶體編譯器時,產生的記憶體實體將具有一形式,該形式在藉由置放與佈線工具220將彼等記憶體實體整合至佈局中時將減小與每一記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積。詳言之,返回參看之前的第2A圖,每一所欲記憶體實體可具有減小Y維度上的多晶矽介面區段之寬度的一形式,從而減小額外負擔面積。另外或替代地,所產生的記憶體實體可具有移除浪費空間120之X維度上的一寬度,此移除藉由確保X維度上的記憶體實體之寬度對齊至標準元件庫高度107之公倍數。
第5圖為示意地圖示根據一個實施例之第4圖之系統之操作的流程圖。在步驟300處,儲存標準元件庫205及記憶體架構210,分別用於供置放與佈線工具220及記憶體編譯器215存取。在步驟305處,隨後例如藉由自儲存裝置讀取彼模型獲得SoC之Verilog模型200。進一步,在步驟310處例如經由記憶體編譯器215之GUI輸入彼等輸入參數獲得指定每一所需記憶體實體之特性的輸入參數。
在步驟315處,決定標準元件庫之特性是否支援使用整合增強模式。舉例而言,可設計記憶體編譯器,以使得若使用眾多已知標準元件庫中之一者,則該記憶體編譯器可支援整合增強模式。若標準元件庫205為彼等特定標準元件庫中之一者,則支援整合增強模式,否則不支援。若不支援整合增強模式,則製程列進至步驟330,在步驟330處於操作之正常模式中操作記憶體編譯器以產生用於轉發至置放與佈 線工具220的每一所需記憶體實體,在操作之此正常模式期間,當產生記憶體實體時記憶體編譯器不考慮標準元件庫之特性,且因此當產生記憶體實體時記憶體編譯器不採取額外負擔面積減小措施。
然而,若標準元件庫之特性支援使用整合增強模式,則在步驟320處決定是否已選擇整合增強模式。若未選擇,則製程列進至步驟330,否則製程列進至步驟325,在步驟325處操作記憶體編譯器以考慮標準元件庫之一或更多個特性產生每一所需記憶體實體,以便當彼所欲記憶體實體被整合至佈局中時減小與每一所欲記憶體實體與周圍標準元件之間的邊界相關聯的額外負擔面積。
在步驟335處,置放與佈線工具220隨後使用Verilog模型200、標準元件庫205及任何自記憶體編譯器215產生的記憶體實體執行置放與佈線操作,以便在步驟340處輸出積體電路佈局。此產生的積體電路佈局之輸出可採取各種形式,但是通常將該輸出記錄為電腦可讀取媒體上的佈局資料。
視情況,且在第5圖中未圖示,佈局資料輸出可在步驟340處經歷標準驗證測試,以確保置放與佈線製程未引入任何意外異常。如虛線框345所示,製程可隨後根據步驟340處的佈局輸出繼續製造積體電路。
如參看第3圖在上文所論述,提供記憶體實體與相鄰標準元件之間的邊界之多晶矽介面區段包括眾多虛設多晶矽軌道,該等虛設多晶矽軌道包括支援多晶矽軌道及終止多 晶矽軌道兩者。在已知先前技術中使用諸如第6A圖中所圖示之彼等鄰接標準元件的鄰接標準元件,以形成多晶矽介面區段115的一部分及以有效將第一主動標準元件與記憶體實體分離。如第6A圖所示,鄰接元件350包括一終止多晶矽軌道360及兩個支援多晶矽軌道,且因此採取參看第3圖在上文所說明之形式。然而,在替代實施例中,鄰接標準元件可採取不同的形式。詳言之,儘管鄰接元件通常將包括一個相對較厚的終止多晶矽軌道,但支援多晶矽軌道之數目可變化。因此,舉例而言,鄰接標準元件355含有一個終止多晶矽軌道360及三個支援多晶矽軌道365。
亦如參看第3圖在上文所論述,通常由記憶體編譯器產生的記憶體實體將包括至少一個相對較厚的終止多晶矽軌道,外加眾多支援多晶矽軌道,以有效將由記憶體實體所使用之第一主動多晶矽軌道與具有標準元件區域的邊界分離。藉由在記憶體實體及鄰接標準元件兩者內提供終止多晶矽軌道及眾多支援多晶矽軌道,提供關於如何相對於與記憶體介面的邊界置放標準元件之靈活性。儘管在第3圖之實例中,直接抵靠邊界置放鄰接標準元件,但或者用於形成記憶體實體的置放與佈線工具可在列內記憶體實體之邊緣與第一標準元件之間提供實際間隙。在一些情況中,只要在記憶體實體及標準元件區域兩者內提供上文論及的終止多晶矽軌道及支援多晶矽軌道,便可使用彼等間隙代替鄰接標準元件,從而在記憶體實體及標準元件區域兩者中主動多晶矽軌道之間提供大間隙。關於如何使用直接相鄰記憶體實體之邊界的 區域的此靈活性是為何設計規則檢查(design rule checking;DRC)規則通常要求記憶體編譯器產生記憶體實體之邊緣上具有終止多晶矽軌道175的記憶體實體的理由中之一者。詳言之,藉由在記憶體實體之邊界上提供此終止多晶矽軌道,可確保可正確形成及正確操作記憶體裝置,無關於相鄰標準元件區域是含有開放空間還是具有非常接近記憶體邊界的標準元件,關於相對於記憶體邊界的多晶矽軌道間隔,不對該等標準元件施加任何限制。
然而,根據一個實施例,可設計標準元件庫使得需要彼庫之標準元件直接抵靠鄰接記憶體實體之邊緣,而不容許存在自由空間。隨後可提供一系列鄰接標準元件用作直接抵靠鄰接記憶體實體之元件。由於使用此類鄰接元件及記憶體實體與相鄰標準元件區域之間不允許存在開放空間的要求,不再需要相對較厚的終止多晶矽軌道,且因此若對標準元件置放施加此類約束,則第6B圖中所示之標準元件370、標準元件375圖示可用於第6A圖之標準元件350、標準元件355的替換標準元件。
若標準元件庫提供此類約束,則在一個實施例中可在整合增強模式中操作記憶體編譯器,在該模式中於標準元件庫內存在約束的事實用於減小與記憶體實體相關聯的多晶矽介面子區域之厚度。詳言之,在彼情況下亦可能避免對介面之彼區域內的終止多晶矽軌道175的需要。因此,第7圖圖示多晶矽介面區段之形式,當在整合增強模式中操作記憶體編譯器時可在記憶體實體區域與標準元件區域之間使用該 多晶矽介面區段。如第7圖所示,所使用之鄰接標準元件400含有兩個支援多晶矽軌道405,但是不含有終止多晶矽軌道。需要在與記憶體實體相交的每一標準元件列中使得鄰接標準元件直接抵靠鄰接記憶體之介面。
由於此要求,記憶體實體不再需要終止多晶矽軌道,且因此在此實施例中,只需要在與記憶體實體相關聯的多晶矽介面區段之子區域內提供兩個支援多晶矽軌道415。隨後可緊接離記憶體邊界最遠的支援多晶矽軌道415置放記憶體實體內的第一主動多晶矽軌道420,藉由適合於記憶體實體的多晶間距界定主動多晶矽軌道與支援多晶矽軌道之分離。類似地,在標準元件區域內,可鄰接離邊界最遠的支援多晶矽軌道405再次以適合於標準元件區域的多晶間距距離置放第一主動多晶矽軌道410。因此,支援多晶矽軌道405、支援多晶矽軌道415提供多晶間距過渡區域以確保記憶體實體區域及標準元件區域兩者內的多晶矽軌道之正確形成。
藉由比較第7圖與第3圖,將看到多晶矽介面區段在Y維度上的總寬度可能明顯減小,因為目前多晶矽介面區段僅需要記憶體子區域中的兩個支援多晶矽軌道及標準元件子區域中的兩個支援多晶矽軌道,避免需要兩個終止多晶矽軌道及彼等終止多晶矽軌道與記憶體邊界之間及彼等終止多晶矽軌道與第一相鄰支援多晶矽軌道之間本將需要的相關分離距離。
純粹舉例而言,在第3圖之一個實施例中,記憶體實體邊界與最遠支援多晶矽軌道185之間的距離A為560 nm,彼距離中之380nm由終止多晶矽軌道及彼軌道與邊界之間及彼軌道與支援多晶矽軌道180之間的相關間隔佔據。相比之下,在第7圖中,由於記憶體編譯器能夠利用對標準元件置放施加的約束,尤其是標準元件必須直接抵靠鄰接記憶體實體之邊緣的要求,等效距離B減小至180nm。如自第2A圖之上文實例將顯而易見,在典型情況下,多晶矽介面區段之此面積損失存在於記憶體實體之頂部及底部兩者,且因此藉由採用第7圖之配置而非第3圖之配置,該對多晶矽介面區段中的寬度減小約為760nm。
另外,此途徑亦藉由消除對終止多晶矽軌道155的需要來減小標準元件中的額外負擔面積需求,且因此若記憶體及標準元件兩者皆按此方式設計,則記憶體及標準元件可無縫配合在一起且為SoC位準設計提供減小的面積。
儘管已相關於記憶體區域與標準元件區域之間的介面描述上文面積節省,但是在希望彼此抵靠鄰接兩個記憶體實體的情況下亦可實現相同的空間節省。
在一個實施例中,記憶體編譯器亦能夠與未提供上文論及的約束之標準元件庫一起操作,且因此不要求標準元件直接抵靠鄰接記憶體實體之邊緣。在彼情況下,記憶體編譯器將不在整合增強模式中操作,而是將產生具有之前參看第3圖示意地圖示之形式的記憶體邊緣元件,該等元件包括終止多晶矽軌道175及眾多支援多晶矽軌道180、185兩者,從而提供記憶體編譯器之反向相容性以便與不支援整合增強模式之使用的標準元件庫一起使用。
若可使得記憶體實體區域中的多晶間距與標準元件區域中的多晶間距相同,則在操作之整合增強模式中可藉由進一步減小多晶矽介面區段之寬度來實現進一步面積減小。詳言之,如第8圖所示,在此類情況下,標準元件區域中的第一主動多晶矽軌道410僅需要藉由邊界上所提供的單個支援多晶矽軌道425來與記憶體實體區域中的第一主動軌道420分離。在此類情況下不需要鄰接標準元件。因此,在標準元件庫需要標準元件直接抵靠鄰接記憶體實體之邊緣及標準元件之多晶間距匹配記憶體實體內所使用的多晶間距之彼間距的情況下,記憶體編譯器又可產生記憶體實體,當在積體電路之佈局內整合彼等記憶體實體時,該等記憶體實體進一步減小多晶矽介面區段之寬度。
第9圖圖示可由記憶體編譯器產生的記憶體實體450之實例配置,該記憶體實體旋轉90°以便置放在參看第2A圖於上文所論述的形式之積體電路佈局內。在此實例中,提供四個記憶體陣列465,每一陣列包含以列與行排列之記憶體元件之矩陣。提供字線驅動器電路系統470用於使得每一陣列內的特定列能夠經由字線而被定址,該等字線以列之方向延行穿過每一陣列。將理解,位元線將與記憶體元件之每一行相關聯,以及行多工器及感測放大器電路系統475將與彼等行之每一者相關聯,以藉由控制相關位元線上的值允許將資料寫入列之定址記憶體元件或以藉由評估相關位元線上的電壓允許在讀取操作期間讀取彼等定址記憶體元件中保存的資料值。進一步,提供控制電路系統480用於控制各種字元 線驅動器470、行多工器及感測放大器475等等之操作。亦提供輸出資料路徑485用於輸出自陣列465之定址記憶體元件中讀取的資料。通常,將圍繞每一陣列465之讀取邊緣置放邊緣元件490以分離陣列與周圍電路系統。另外,可使用類似邊緣元件495以分離記憶體之組件與實際記憶體邊界。
如從第9圖可見,陣列之列在X維度上延行且行在Y維度上延行,在積體電路內置放記憶體實體之前已將記憶體實體旋轉90°,以便記憶體實體之頂部455形成一個具有相關多晶矽介面區段的邊界且記憶體實體之底部460形成另一具有相關多晶矽介面區段的邊界。然而,如第10圖所示,由於使用上文所論述的記憶體編譯器的操作之整合增強模式時空間節省措施可用,所以當與已知先前技術途徑之等效多晶矽介面區段115之寬度相比時,多晶矽介面區段515之寬度可明顯減小,原因在於與記憶體實體相關聯的子區域515a及與標準元件相關聯的子區域515b兩者之寬度皆減小。
此外,根據一個實施例,可排列記憶體編譯器以另外或替代地約束記憶體實體,以便在X維度上的記憶體實體之寬度為標準元件列高507之整數倍,從而避免第2A圖之上文實例中所示之浪費空間120。
在SoC位準上,標準元件列之置放限於標準元件庫所指定的列高。舉例而言,針對特定製程節點,九軌道元件庫的標準元件列高為576nm。通常,由製造廠提供的用於產生記憶體實體之記憶體陣列的位元元件不與標準元件列高對齊,且因此第9圖中所示之記憶體實體寬度497與標準元件 列高無關。當在SoC之佈局內合併記憶體實體時,此情況可引起明顯的浪費空間。隨著典型SoC上的記憶體實體之數目繼續增加,此浪費空間對積體電路之總面積具有越來越多的顯著效應。根據一個實施例,當在操作之整合增強模式中時,記憶體編譯器在設計記憶體實體時將標準元件之列高考慮在內,以便產生寬度497為標準元件列高之整數倍的記憶體實體。可以眾多方式實現此目標。舉例而言,在一個實施例中,可設計用以組成記憶體陣列的個別位元元件以便當該等位元元件形成於記憶體陣列之列中時,彼等列符合標準元件列高之倍數。另外,可設計用以形成字線驅動器電路及/或邊緣元件的個別元件以便符合標準元件列高之倍數,以使得總記憶體實體寬度497對齊至標準元件列高柵格。舉例而言,儘管邊緣元件通常將必須具有最小寬度以便實現所欲隔離特性,但若需要,可使得彼等邊緣元件更寬以便確保記憶體實體之總寬度497為標準元件列高之倍數。
對於支援mux 4、mux 8及mux 16作為多工器選項的記憶體編譯器,則當字寬以一個位元增量增加時,記憶體實體寬度(至少)以位元元件寬度的四倍之倍數增加。因此,若約束標準元件列高為位元元件寬度的四倍,則可設計記憶體編譯器以產生總記憶體元件寬度497為標準元件列高507之整數倍的實體,從而當彼記憶體實體被整合至積體電路之佈局時避免任何浪費空間120。除關於多工選項約束陣列之列大小增加的方式之外,亦可約束字寬可增加的量。舉例而言,不是允許字寬以一個位元增量增加,而是可約束字寬僅以兩 個位元增量或四個位元增量增加。
第11圖示意地圖示通用電腦600,該通用電腦600為可用於實施上述記憶體編譯器操作及置放與佈線操作以便產生積體電路之佈局的類型。通用電腦600包括中央處理單元602、隨機存取記憶體604、唯讀記憶體606、網路介面卡608、硬碟驅動機610、顯示驅動器612與監視器614及具有全部經由公用匯流排622連接的鍵盤618及滑鼠620的使用者輸入/輸出電路616。在操作中,中央處理單元602將執行電腦程式指令,可在隨機存取記憶體604、唯讀記憶體606及硬碟610之一或更多者中儲存該等指令或可經由網路介面卡608動態下載該等指令。可經由顯示驅動器612及監視器614向使用者顯示處理執行之結果。可經由使用者輸入/輸出電路616自鍵盤618或滑鼠620接收用於控制通用電腦600之操作的使用者輸入(且因此(例如)可經由此機制輸入用於決定所需記憶體實體之某些特性的輸入參數)。將瞭解,可以各種不同電腦語言書寫電腦程式。可在記錄媒體上儲存及分佈電腦程式或將電腦程式動態下載至通用電腦600。當在適宜電腦程式之控制下操作時,通用電腦600可執行上述記憶體編譯器操作以便產生所欲記憶體實體,及甚至可執行用於產生合併此類所欲記憶體實體的積體電路之佈局的置放與佈線操作,且因此可認為該通用電腦形成用於執行上述操作的一設備。通用電腦600之架構可存在相當多的變化且第11圖僅為一個實例。
自上述實施例,將瞭解,當記憶體實體被整合至SoC 之佈局中時,此類實施例能夠使得由記憶體實體與相鄰標準元件之間的邊界引起的總額外負擔面積明顯減小。根據一個實施例,改變記憶體實體之頂部及底部上的多晶介面以當將記憶體實體及標準元件以SoC位準整合在一起時減小不必要的額外負擔,尤其是在標準元件庫約束標準元件以要求標準元件直接抵靠鄰接記憶體實體之邊緣的情況下如此。或者或另外,將記憶體實體之寬度約束為對齊至標準元件庫高度之公倍數。在一個實施例中,可藉由要求列之位元元件對齊至庫列高之一些倍數及字線驅動器通道及記憶體邊緣元件之總寬度對齊至庫列高之倍數來實現此目標。
儘管本文已描述特定實施例,但將瞭解,本發明並不受限於此且可在本發明之範疇內對該等實施例作出許多修改及添加。舉例而言,可在不脫離本發明之範疇的情況下得到以下附屬請求項之特徵及獨立請求項之特徵的各種組合。
300‧‧‧步驟
305‧‧‧步驟
310‧‧‧步驟
315‧‧‧步驟
320‧‧‧步驟
325‧‧‧步驟
330‧‧‧步驟
335‧‧‧步驟
340‧‧‧步驟
345‧‧‧步驟

Claims (16)

  1. 一種產生一積體電路之一佈局的方法,該佈局合併界定該積體電路之功能組件的標準元件及至少一個記憶體實體兩者,由一記憶體編譯器產生該記憶體實體以界定該積體電路之一記憶體裝置,該方法包含以下步驟:向該記憶體編譯器提供一記憶體架構,該記憶體架構指定電路元件之一定義及資料,該定義及資料界定用於組合彼等電路元件的規則以便產生符合該記憶體架構的記憶體實體;接收指定一所欲記憶體實體之一或更多個特性的輸入資料;使用該記憶體編譯器以產生基於該輸入資料的該所欲記憶體實體以使得該所欲記憶體實體符合該記憶體架構;提供一標準元件庫,該標準元件庫內的每一標準元件界定一對應功能組件;在該記憶體編譯器之操作之一整合增強模式中,引起該記憶體編譯器引用該標準元件庫之至少一個特性以便以一形式產生該所欲記憶體實體,該形式在彼所欲記憶體實體被整合至該佈局中時將減小與彼所欲記憶體實體與周圍標準元件之間的一邊界相關聯的一額外負擔面積;以及藉由用從該標準元件庫中選擇之標準元件填充以一第一方向延伸的標準元件列來產生該佈局,以便提供該積體電路所需之該等功能組件,並將由該記憶體編譯器提供的該所欲記憶體實體整合至該佈局中。
  2. 如請求項1所述之方法,該方法進一步包含以下步驟:向該記憶體編譯器提供操作之至少一個其他模式,在該模式中,當產生該所欲記憶體實體時,該記憶體編譯器不引用該標準元件庫之該至少一個特性,導致相較於在操作之該整合增強模式中操作該記憶體編譯器時與該邊界相關聯的該額外負擔面積,當彼所欲記憶體實體被整合至該佈局中時與該邊界相關聯的該額外負擔面積增加。
  3. 如請求項1所述之方法,其中:該佈局包括一多晶矽層,該多晶矽層包含以一第二方向延伸穿過該多晶矽層的複數個多晶矽軌道,該第二方向垂直於該第一方向;該多晶矽層包括將該所欲記憶體實體與相鄰標準元件分離的至少一個多晶矽介面區域,每一該至少一個多晶矽介面區域提供以該第一方向延伸的一分離距離;在操作之該整合增強模式中由該記憶體編譯器引用的該標準元件庫之該至少一個特性為指示彼標準元件庫之該等標準元件之設計要求該等標準元件直接抵靠鄰接以該第二方向延伸的該所欲記憶體實體之一邊緣之一特性;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,該形式在彼所欲記憶體實體被整合至該佈局中時將減小該多晶矽介面區域之該分離距離。
  4. 如請求項3所述之方法,其中:每一該至少一個多晶矽介面區域包含以該第二方向延伸的虛設多晶矽軌道;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,該形式將減少該多晶矽介面區域中虛設多晶矽軌道之數目。
  5. 如請求項4所述之方法,其中:每一該至少一個多晶矽介面區域包含與該所欲記憶體實體相關聯的一第一介面子區域及與標準元件相關聯的一第二介面子區域;指示彼標準元件庫之該等標準元件之該設計要求該等標準元件直接抵靠鄰接以該第二方向延伸的該所欲記憶體實體之一邊緣的該特性為識別於該第二介面子區域中提供的虛設多晶矽軌道之該數目的一減少的一特性;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,相較於若在產生該所欲記憶體實體時該記憶體編譯器不引用該標準元件庫之該至少一個特性的操作之至少一個其他模式中操作該記憶體編譯器將提供的虛設多晶矽軌道,該形式在該第一介面子區域中具有更少的虛設多晶矽軌道。
  6. 如請求項5所述之方法,其中: 在操作之該至少一個其他模式中,該第一介面子區域將包括至少一個支援虛設軌道及至少一個終止虛設軌道作為該等虛設多晶矽軌道,該至少一個終止虛設軌道在該第一方向具有一厚度,該厚度大於每一支援虛設軌道之厚度;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,在該形式中該第一介面子區域不包括終止虛設軌道。
  7. 如請求項6所述之方法,其中該第二介面子區域亦不包括終止虛設軌道。
  8. 如請求項6所述之方法,其中:在與該所欲記憶體實體相關聯的該多晶矽層之一區段內以該等多晶矽軌道之該第一方向的一間距間隔和在與該等標準元件相關聯的該多晶矽層之一其他區段內以該等多晶矽軌道之該第一方向的該間距間隔相同;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,該形式將另外減少每一該至少一個多晶矽介面區域中支援虛設軌道之數目。
  9. 如請求項8所述之方法,其中在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,該形式導致每一該至少一個多晶矽介面區域內的一單個支援虛設軌道。
  10. 如請求項3所述之方法,其中該至少一個多晶矽介面區域包含在該所欲記憶體實體之一第一側上的一第一多晶矽介面區域及在該所欲記憶體實體之一相對側上的一第二多晶矽介面區域,該第一側及該相對側兩者以該第二方向延伸。
  11. 如請求項1所述之方法,其中:該等標準元件列具有以垂直於該第一方向的一第二方向延伸的一列高,由該標準元件庫界定該列高;在操作之該整合增強模式中由該記憶體編譯器引用的該標準元件庫之該至少一個特性為該列高;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器以一形式產生該所欲記憶體實體,在該形式中將該第二方向上的該所欲記憶體實體之寬度約束為該列高之一整數倍。
  12. 如請求項11所述之方法,其中:該記憶體實體包含至少一個記憶體陣列及耦接至該至少一個記憶體陣列的複數個邏輯電路;在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器將該第二方向上的該至少一個記憶體陣列之該寬度約束為該列高之一整數倍。
  13. 如請求項12所述之方法,其中: 藉由該記憶體陣列之每一列內提供的記憶體元件之該數目指示該第二方向上的每一該至少一個記憶體陣列之該寬度;以及在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器約束每一該至少一個記憶體陣列之每一列內提供的記憶體元件之該數目,以使得該第二方向上的每一該至少一個記憶體陣列之該寬度為該列高之該整數倍。
  14. 如請求項11所述之方法,其中:該記憶體實體包含至少一個記憶體陣列及耦接至該至少一個記憶體陣列的複數個邏輯電路;在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器將該第二方向上的該複數個邏輯電路之寬度約束為該列高之一整數倍。
  15. 如請求項11所述之方法,其中:該記憶體實體包含至少一個記憶體陣列、耦接該至少一個記憶體陣列的複數個邏輯電路及複數個邊緣元件;在該記憶體編譯器之操作之該整合增強模式中,該記憶體編譯器選擇該第二方向上的該等邊緣元件之一寬度以使得該第二方向上的該所欲記憶體實體之該寬度約束為該列高之一整數倍。
  16. 一種儲存一記憶體編譯器電腦程式的儲存媒體,該記憶體編譯器電腦程式用於控制一電腦自與該記憶體編譯器電腦程式相關聯的一記憶體架構產生一所欲記憶體實體,該記憶體架構指定電路元件之一定義及資料,該定義及資料界定用於組合彼等電路元件的規則,該記憶體編譯器電腦程式具有操作之一整合增強模式,在該模式中,在執行一種產生一積體電路之一佈局之方法期間,該佈局合併界定該積體電路之功能組件的標準元件及界定該積體電路之一記憶體裝置的至少一個記憶體實體,配置該記憶體編譯器電腦程式以引用界定該等標準元件的一標準元件庫之至少一個特性,以便以一形式產生該所欲記憶體實體,該形式在彼所欲記憶體實體被整合至該佈局中時將減小與彼所欲記憶體實體與周圍標準元件之間的一邊界相關聯的一額外負擔面積。
TW102136580A 2012-10-23 2013-10-09 產生包含標準元件及至少一個記憶體實體兩者的積體電路之佈局的方法 TWI575393B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/658,072 US8645893B1 (en) 2012-10-23 2012-10-23 Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance

Publications (2)

Publication Number Publication Date
TW201419020A TW201419020A (zh) 2014-05-16
TWI575393B true TWI575393B (zh) 2017-03-21

Family

ID=50001846

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102136580A TWI575393B (zh) 2012-10-23 2013-10-09 產生包含標準元件及至少一個記憶體實體兩者的積體電路之佈局的方法

Country Status (4)

Country Link
US (2) US8645893B1 (zh)
KR (1) KR101724261B1 (zh)
CN (1) CN103778273B (zh)
TW (1) TWI575393B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8984465B1 (en) 2013-06-28 2015-03-17 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
US9003349B1 (en) 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US9117052B1 (en) * 2012-04-12 2015-08-25 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns
US9251299B1 (en) 2013-06-28 2016-02-02 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs
US9104830B1 (en) 2013-06-28 2015-08-11 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design
US9213793B1 (en) 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US9165103B1 (en) 2013-06-28 2015-10-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs
GB2526823B (en) * 2014-06-03 2018-09-26 Advanced Risc Mach Ltd An integrated circuit with interface circuitry, and an interface cell for such interface circuitry
US9575891B2 (en) 2014-06-17 2017-02-21 Advanced Micro Devices, Inc. Sidecar SRAM for high granularity in floor plan aspect ratio
US9324392B1 (en) * 2014-10-23 2016-04-26 Arm Limited Memory device and method of performing a write operation in a memory device
KR102257031B1 (ko) * 2015-03-13 2021-05-27 삼성전자주식회사 반도체 집적 회로 설계 방법
US10445453B2 (en) * 2015-04-08 2019-10-15 Mediatek Inc. Cell layout utilizing boundary cell with mixed poly pitch within integrated circuit
CN104899364B (zh) * 2015-05-27 2018-01-02 上海华力微电子有限公司 一种用于器件建模的标准单元选择方法
US9984194B2 (en) * 2015-09-15 2018-05-29 Arm Limited Integrated circuit design
KR102333446B1 (ko) * 2015-11-09 2021-11-30 삼성전자주식회사 반도체 장치 및 반도체 시스템
US10114918B2 (en) 2016-01-27 2018-10-30 Arm Limited Physical placement control for an integrated circuit based on state bounds file
US10417371B2 (en) * 2017-01-27 2019-09-17 Arm Limited Power grid healing techniques
US10579771B2 (en) * 2017-06-14 2020-03-03 Samsung Electronics Co., Ltd. Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit
US10741539B2 (en) 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US10503859B2 (en) * 2017-08-30 2019-12-10 Arm Limited Integrated circuit design and/or fabrication
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US11675949B2 (en) 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells
CN111177996B (zh) * 2020-01-02 2023-06-30 天津飞腾信息技术有限公司 一种优化集成电路可制造性的特殊图形规避方法及装置
CN113745212A (zh) * 2020-05-29 2021-12-03 泉芯集成电路制造(济南)有限公司 集成电路及布局集成电路的方法
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11437316B2 (en) 2020-09-24 2022-09-06 Advanced Micro Devices, Inc. Folded cell layout for 6T SRAM cell
US11710698B2 (en) 2020-09-24 2023-07-25 Advanced Micro Devices, Inc. Dual-track bitline scheme for 6T SRAM cells
US11881393B2 (en) 2021-09-29 2024-01-23 Advanced Micro Devices, Inc. Cross field effect transistor library cell architecture design
US11778803B2 (en) 2021-09-29 2023-10-03 Advanced Micro Devices, Inc. Cross FET SRAM cell layout
US12008237B2 (en) 2022-04-19 2024-06-11 Advanced Micro Devices, Inc. Memory bit cell with homogeneous layout pattern of base layers for high density memory macros
CN114707443B (zh) * 2022-05-23 2023-01-10 北京芯愿景软件技术股份有限公司 基本单元库简化方法及装置
WO2024112505A1 (en) * 2022-11-21 2024-05-30 X Development Llc Auto-creation of custom standard cells

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6925627B1 (en) * 2002-12-20 2005-08-02 Conexant Systems, Inc. Method and apparatus for power routing in an integrated circuit
US7478358B2 (en) * 2005-04-19 2009-01-13 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
US8136072B2 (en) * 2008-11-03 2012-03-13 Arm Limited Standard cell placement

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3351643B2 (ja) * 1995-01-31 2002-12-03 株式会社東芝 半導体メモリ装置及びその製造方法
TW587251B (en) * 1999-10-04 2004-05-11 Koninkl Philips Electronics Nv A non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell a non-volatile one
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6470475B2 (en) * 2000-11-23 2002-10-22 Stmicroelectronics Ltd. Synthesizable synchronous static RAM
CN1305127C (zh) * 2003-09-12 2007-03-14 清华大学 用去耦合电容实现集成电路供电网络噪声优化的快速方法
JP4284202B2 (ja) * 2004-02-04 2009-06-24 パナソニック株式会社 面積率/占有率検証プログラム及びパターン生成プログラム
WO2006052738A2 (en) * 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
CN100442525C (zh) * 2004-12-20 2008-12-10 松下电器产业株式会社 单元、标准单元、使用标准单元的布局方法和半导体集成电路
CN1804849B (zh) * 2006-01-19 2010-05-12 复旦大学 多时钟***的平面布图规划方法
JP2009123966A (ja) * 2007-11-15 2009-06-04 Sharp Corp 半導体集積回路のレイアウトパターン設計方法、レイアウトパターン設計装置およびレイアウトパターン設計用プログラム
US8185851B2 (en) * 2009-08-12 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory building blocks and memory design using automatic design tools
US8607019B2 (en) * 2011-02-15 2013-12-10 Shine C. Chung Circuit and method of a memory compiler based on subtractive approach
JP5741234B2 (ja) * 2011-06-10 2015-07-01 富士通株式会社 セルの配置構造、半導体集積回路、及び回路素子セルの配置方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6925627B1 (en) * 2002-12-20 2005-08-02 Conexant Systems, Inc. Method and apparatus for power routing in an integrated circuit
US7478358B2 (en) * 2005-04-19 2009-01-13 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
US8136072B2 (en) * 2008-11-03 2012-03-13 Arm Limited Standard cell placement

Also Published As

Publication number Publication date
KR20140051774A (ko) 2014-05-02
CN103778273B (zh) 2017-09-22
TW201419020A (zh) 2014-05-16
KR101724261B1 (ko) 2017-04-07
US8645893B1 (en) 2014-02-04
US20140115554A1 (en) 2014-04-24
CN103778273A (zh) 2014-05-07

Similar Documents

Publication Publication Date Title
TWI575393B (zh) 產生包含標準元件及至少一個記憶體實體兩者的積體電路之佈局的方法
US10503859B2 (en) Integrated circuit design and/or fabrication
US7890917B1 (en) Method and apparatus for providing secure intellectual property cores for a programmable logic device
US7989849B2 (en) Apparatuses and methods for efficient power rail structures for cell libraries
EP2728753B1 (en) Programmable integrated circuits with redundant circuitry
US8479141B1 (en) Automation using spine routing
US9236343B2 (en) Architecture of spare wiring structures for improved engineering change orders
US8156465B2 (en) Layout method and layout program for semiconductor integrated circuit device
TW201514742A (zh) 產生積體電路佈局的方法
US7546568B2 (en) Automation of tie cell insertion, optimization and replacement by scan flip-flops to increase fault coverage
JP2006301961A (ja) 半導体集積回路の自動フロアプラン手法
US9904752B2 (en) Methods for distributing power in layout of IC
TWI803481B (zh) 產生積體電路的電路模塊的佈局的方法,及其電腦程式產品、非暫時性儲存媒體,及積體電路
US10339241B1 (en) Methods for incremental circuit design legalization during physical synthesis
JP2018151977A (ja) 半導体集積回路の設計支援装置及び方法
JP2010087244A (ja) 半導体集積回路、半導体集積回路のレイアウト方法、及び半導体集積回路のレイアウトプログラム
JP2004086682A (ja) 機能ブロック設計方法および機能ブロック設計装置
US10747931B2 (en) Shift of circuit periphery layout to leverage optimal use of available metal tracks in periphery logic
US10936772B1 (en) Methods for incremental circuit physical synthesis
JP2006338090A (ja) 半導体集積回路の設計方法および設計装置
GB2520275A (en) A method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
JP2010073728A (ja) 半導体集積回路レイアウト設計方法及び半導体集積回路レイアウト設計装置
Golshan Physical Design
JP4187714B2 (ja) 半導体メモリのレイアウト方法及び半導体メモリ
WO2020182998A1 (en) Method for implementing an integrated circuit comprising a random-access memory-in-logic