TWI558836B - 用於控制各種材料的蝕刻選擇性的系統及方法 - Google Patents

用於控制各種材料的蝕刻選擇性的系統及方法 Download PDF

Info

Publication number
TWI558836B
TWI558836B TW101123764A TW101123764A TWI558836B TW I558836 B TWI558836 B TW I558836B TW 101123764 A TW101123764 A TW 101123764A TW 101123764 A TW101123764 A TW 101123764A TW I558836 B TWI558836 B TW I558836B
Authority
TW
Taiwan
Prior art keywords
recessed region
substrate
tungsten
filling
barrier layer
Prior art date
Application number
TW101123764A
Other languages
English (en)
Other versions
TW201303063A (zh
Inventor
艾斯德爾 鄭
愛蘭德 查德拉色卡
拉雅辛娜 胡曼雲
麥克 丹尼克
羅納德 波威爾
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201303063A publication Critical patent/TW201303063A/zh
Application granted granted Critical
Publication of TWI558836B publication Critical patent/TWI558836B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用於控制各種材料的蝕刻選擇性的系統及方法
本發明涉及一種基板處理系統,尤其涉及一種能夠控制不同材料蝕刻選擇性的基板處理系統。
在此提供的描述其目的主要用於呈現本發明的發展背景。依目前發明人於此背景技術中描述所涉及的範圍以及申請時可能不被認為屬於先前技術之各方面的描述,既不能明示也不能隱含地被認為係本發明的先前技術。
使用化學氣相沉積(CVD)法沉積含鎢薄膜是許多半導體製程中不可或缺的部分。含鎢薄膜可以用於水平互連、相鄰金屬層間的通孔、矽基板上第一金屬層與裝置間的接觸層以及高深寬比的區域中。在沉積過程中,基板在沉積室中被加熱至工作溫度後,先沉積了一層由鈦(Ti)或氮化鈦(TiN)組成的阻擋層(或襯底)。之後,在該阻擋層(或襯底)上再沉積一含鎢薄膜(主體層)。
將含鎢薄膜沉積於一高深寬比之區域中時,可能會在這區域中形成接縫,而此接縫可能造成高阻抗、汙染以及填充材料的損耗。換言之,該接縫降低了積體電路的效能。例如,該接縫在填充處理之後可能延伸至圖場附近,然後在化學機械拋光(CMP)過程中產生開口。
請參考第1A圖至第1D圖,該些圖係先前技術中使用含鎢薄膜填充凹陷區域的方法例示。在第1A圖中,基板100包括凹陷區域104,其包括可填充以鎢之接觸孔或通孔。在第1B圖中,沉積阻擋層(或襯底)108。該阻擋層(或襯底)108可以由鈦或氮化鈦組成。該阻擋層(或襯底)108通常會在凹陷區域104的開口附近產生懸突110或夾點。然後在該阻擋層(或襯底)108上使用化學氣相沉積法沉積含鎢薄膜112。
使用含鎢薄膜填充凹陷可能在填充區域內形成接縫。當該區域側壁上沉積層的厚度到達其密封點(也稱為夾點)而封閉該下方的空隙空間時,可以形成一接縫。該夾點可防止前驅物及/或其他反應物進入該空隙而維持在未填充狀態。空隙空間或接縫有時也稱為鎖眼。在第1C圖中,沉積之後 鎖眼114仍然存在。在第1D圖中,經過化學機械拋光之後,該鎖眼114被打開形成一開口。
在第2A圖至第2D圖中,該些圖係先前技術中使用含鎢薄膜填充凹陷區域的另一方法例示。在第2A圖中,基板130包括例如接觸孔或是通孔的凹陷區域134。之後,沉積阻擋層(或襯底)138。該阻擋層(或襯底)可以由鈦/氮化鈦製成,並且可能形成懸突140。然後在該阻擋層(或襯底)138上使用化學氣相沉積法部分沉積鎢層142。在第2B圖中,使用氟物種進行回蝕步驟。沉積再蝕刻的步驟可以循環重複進行,以減少填充鎢層中的鎖眼144和接縫。在第2D圖中,化學機械拋光步驟可以用於打開鎖眼144。
第2A圖至第2D圖的方法在第一沉積步驟中因係部分填充,在隨後的蝕刻步驟中會留有打開的通孔。在移除圖場和懸突上鎢層的蝕刻步驟中,常會產生不預期的副效應。後續進行蝕刻時也會移除通孔內部的鎢層。接下來進行沉積步驟時,通孔內部和懸突會以相同的速率再生鎢層,從而同樣會產生鎖眼空隙。
此部分提供對本發明的總體概括,並不是其全部保護範圍或其所有特徵的綜合描述。
本發明提供一種填充基板凹陷區域的方法,包括:(a)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,將一含鎢薄膜部分填充於基板上至少一該凹陷區域;(b)在一預定溫度下,使用一包含有活化氟物種的蝕刻劑選擇性地蝕刻該含鎢薄膜,使該含鎢薄膜的蝕刻移除多於該凹陷區域內的一下層,但不移除該凹陷區域底部所有該含鎢薄膜;以及(c)利用化學氣相沉積與原子層沉積其中至少一種方法填充該凹陷區域。
在其他特徵中,步驟(a)包括使用含鎢薄膜填充凹陷區域,以夾縮該凹陷區域的開口。
在其他特徵中,步驟(a)包括使用含鎢薄膜填充凹陷區域,以關閉該凹陷區域的開口,並且沉積一超覆層。
在其他特徵中,步驟(b)係在沉積室與蝕刻室的其中之一中進行。
在其他特徵中,下層包括一阻擋層(或襯底)。該阻擋層(或襯底)包括鈦與鉭的其中之一。阻擋層(或襯底)的材料可包括鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。該方法可包括在步驟(c)之前重複步驟(a)與(b)一次或多次。
在其他特徵中,該方法包括在步驟(c)之後進行該基板的化學機械拋光(CMP)。
在其他特徵中,預定溫度可小於或等於150℃。預定溫度可小於或等於100℃。預定溫度可小於或等於50℃。阻擋層(或襯底)包括一懸突,其在凹陷區域的開口處產生一夾點。
在其他特徵中,該方法包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減慢阻擋層(或襯底)的蝕刻。
在其他特徵中,該方法包括在步驟(a)之前氧化該阻擋層(或襯底)。
本發明同時提供一種在基板上沉積薄膜的裝置,包括:一個或多個反應室、一提供活化氟物種的提供源、以及一控制器。該控制器係控制一個或多個反應室中以下步驟之開始:(a)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,將一含鎢薄膜部分填充於基板上至少一該凹陷區域;(b)在一預定溫度下,使用一包含有活化氟物種的蝕刻劑選擇性地蝕刻該含鎢薄膜,使該含鎢薄膜的蝕刻移除多於該凹陷區域內的一下層,但不移除該凹陷區域底部所有該含鎢薄膜;以及(c)利用化學氣相沉積與原子層沉積其中至少一種方法填充該凹陷區域。
在其他特徵中,步驟(a)包括使用含鎢薄膜填充凹陷區域,以夾縮該凹陷區域的開口。
在其他特徵中,步驟(a)包括使用含鎢薄膜填充凹陷區域,以關閉該凹陷區域的開口,並且沉積一超覆層。
在其他特徵中,步驟(b)係在沉積室與蝕刻室的其中之一中進行。
在其他特徵中,下層包括一阻擋層(或襯底)。該阻擋層(或襯底)包括鈦與鉭的其中之一。阻擋層(或襯底)亦可包括鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,控制器在步驟(c)之前重複步驟(a)與(b)一次或多次。在其他特徵中,可在步驟(c)之後進行該基板的化學機械拋光 (CMP)。預定溫度可小於或等於150℃。預定溫度可小於或等於100℃。預定溫度可小於或等於50℃。
在其他特徵中,阻擋層(或襯底)包括一懸突,該懸突在在凹陷區域的開口處產生一夾點。控制器啟動氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種的添加,以減慢該阻擋層(或襯底)的蝕刻。控制器可在步驟(a)之前開始氧化該阻擋層(或襯底)。
本發明亦提供一種在基板上產生薄膜的方法,包括:(a)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,將一含鎢層沉積於一下層上,該下層係位於基板的一氧化層上;(b)在一預定溫度下,使用包含有活化氟物種的蝕刻劑選擇性地蝕刻該含鎢層,使該含鎢層的蝕刻移除多於該下層;以及(c)利用化學氣相沉積與原子層沉積其中至少一種方法在該含鎢層上沉積一層。
在其他特徵中,該下層包括一阻擋層(或襯底)。該阻擋層(或襯底)包括鈦與鉭的其中之一。該阻擋層(或襯底)亦可包括鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,該預定溫度可小於或等於150℃。該預定溫度可小於或等於100℃。該預定溫度可小於或等於50℃。
在其他特徵中,該方法包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減慢該阻擋層(或襯底)的蝕刻。該方法包括在步驟(a)之前氧化該下層,該下層包含有鎢。
本發明另外提供一種在基板上沉積薄膜的裝置,包括:一個或多個反應室以及一提供活化氟物種的提供源,其中一控制器係控制一個或多個反應室中以下步驟之開始:(a)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,在一下層上沉積一含鎢層,其中該下層位於基板的一氧化層上;(b)在一預定溫度下,使用一包含有活化氟物種的蝕刻劑選擇性地蝕刻該含鎢層,使該含鎢層的蝕刻移除多於該凹陷區域內的一下層;以及(c)在該含鎢層之上沉積一層。
在其他特徵中,該下層包括一阻擋層(或襯底)。該阻擋層(或襯底)包括鈦與鉭的其中之一,該阻擋層(或襯底)亦可包括鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
在其他特徵中,該預定溫度可小於或等於150℃,該預定溫度亦可小於或等於100℃。該預定溫度可小於或等於50℃。
在其他特徵中,該方法包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減慢該阻擋層(或襯底)的蝕刻。該方法包括在步驟(a)之前氧化該下層,該下層包含有鎢。
本發明另外提供一種填充基板凹陷區域的方法,包括:(a)以共形(conformal)化學氣相沉積(CVD)法,將一含鎢薄膜填充於基板的一凹陷區域;(b)在一第一預定溫度下,使用活化氟物種選擇性地蝕刻該含鎢薄膜,使該含鎢薄膜的蝕刻移除多於阻擋層(或襯底);以及(c)利用選擇性化學氣相沉積法填充該區域。
在其他特徵中,該方法進一步包括:(d)在一第二預定溫度下,使用活化氟物種選擇性地蝕刻該含鎢薄膜,使該含鎢薄膜的蝕刻移除多於阻擋層(或襯底)。
在其他特徵中,該方法包括重複步驟(c)和(d)一次或多次。
在其他特徵中,該方法進一步包括在步驟(d)之後進行基板的化學機械拋光(CMP)。
本發明另外提供一種填充基板凹陷區域的方法,包括:(a)利用一含鎢薄膜填充基板的該凹陷區域,其中該凹陷區域包括一下層以及一懸突,該懸突在該凹陷區域的開口處形成一夾點,並且在該含鎢薄膜中產生一空隙;(b)在一預定溫度下,使用活性氟物種選擇性地蝕刻該含鎢薄膜使其移除多於下層,藉以打開該含鎢薄膜中的該空隙;(c)使用選擇性化學氣相沉積法部分填充該凹陷區域,其中沉積於該凹陷區域底部的材料係多於該凹陷區域的側壁或圖場的材料;以及(d)利用化學氣相沉積法填充該區域其他剩餘部分。
由此說明書所提供可知,將此本發明應用於更多領域是明顯可知的。本發明說明中的描述以及具體實施例其目的僅在說明,並不在限制本發明的範圍。
以下的描述僅在說明,並不在限制本發明範圍及其應用或使用。為了明確且清楚,附圖中使用的相同的元件符號將用於表示相似的元件。例如,用語「A、B以及C的至少其中之一」應該解釋為以「或(or)」為邏輯運算子的邏輯關係,亦即為「A或B或C」。應該理解地是,在不改變本發明原則的情況下,各方法內的步驟可以不同的順序進行。另外,說明書中的鎢沉積與鎢蝕刻,係指鎢或含鎢薄膜的沉積與蝕刻。
本發明係關於一種利用包括選擇性鎢/氮化鈦(W/TiN)蝕刻工序,用於填充區域及/或製造基板(例如半導體基板等)的系統及方法。說明書中所述「選擇性鎢蝕刻」是指一種使用含氟蝕刻劑進行蝕刻的步驟,且其蝕刻比(鎢/鈦,鎢/氮化鈦)可以使用溫度來調節。尤其是,鈦/氮化鈦的選擇性鎢蝕刻是以氟自由基蝕刻鎢與氮化鈦時的視活化能差異來進行。高溫時(例如,大於150℃),鎢/氮化鈦蝕刻速率比為小於1。低溫時(例如,小於150℃),鎢/氮化鈦蝕刻速率比為大於1。當溫度小於50℃時,鎢/氮化鈦蝕刻速率比為大於100:1。因此,根據本發明的系統及方法能夠提供從小於0.5:1至大於100:1的範圍的蝕刻選擇性。
高蝕刻選擇性能夠使其如同利用鈦或氮化鈦作為蝕刻阻擋來控制製程以實現新集成。溫度則可利用溫控底座或任何其他適當的方法來控制。此外,亦可調節壓力大小來改變蝕刻的選擇性。根據本發明的蝕刻系統及方法,其係基於氟自由基蝕刻步驟,再利用後續之化學氣相鎢沉積,改變再次沉積在該凹陷區域內含鎢薄膜之形狀,而使接縫消失。在某些實施例中,氟自由基可以從遠端電漿源中的三氟化氮/氬(NF3/Ar)或三氟化氮/氦(NF3/He)電漿或者使用其他方法而產生。在某些實施例中,係以電漿輔助化學氣相沉積(PECVD)系統進行沉積和蝕刻,然而其他系統亦可以使用。本發明雖揭露以氟自由基,其他類型的活化氟物種亦得利用於本發明。於此僅舉例而不僅限於此,亦可經由熱活化氟氣體,產生活化氟物種。此外,也可以使用紫外線或微波激化的活化氟物種。
雖然前述實施例係以化學氣相沉積法於沉積室中進行沉積和蝕刻步驟,然而本發明亦可使用其他裝置來進行。例如,可以在原子層沉積室中以原子層沉積(ALD)法進行含鎢薄膜的沉積,而蝕刻步驟亦可在相分離的蝕刻室中進行。
請參考第3圖和第4圖,該些圖顯示蝕刻選擇性與溫度變化有關。從圖中可以看出,低溫提供較高的鎢/氮化鈦蝕刻選擇性。第3圖顯示了鎢與氮化鈦蝕刻速率,以及鎢/氮化鈦的蝕刻選擇性與溫度的關係圖。第4圖則顯示在一指定蝕刻劑流量下,蝕刻速率、蝕刻速率選擇性與1/溫度(1/T)的關係圖。
請參考第5圖至第8圖,該些圖係鎢/鈦與鎢/氮化鈦的蝕刻速率以及蝕刻速率選擇性的示意圖。第5圖和第7圖,分別為第一與第二蝕刻劑流速下,鈦、氮化鈦蝕刻速率與溫度變化之關係圖。第6圖和第8圖,則分別為第一與第二蝕刻劑流速下,鎢/鈦、鎢/氮化鈦的蝕刻速率選擇性與溫度的關係圖。
由於能夠選擇性蝕刻鎢,因此能夠藉由凹陷區域頂部過度蝕刻的方式,增強該重新形成凹部區域的填塞。此外,由於蝕刻厚度不需要精確地控制,此部分也可用來改良的製程邊際,並同時具有優化填充一定尺寸範圍區域的效果。
請參考第9A圖至第9D圖,該些圖係根據本發明實施例中使用含鎢薄膜填充凹陷區域的方法示意圖。該方法包括:使用化學氣相沉積部分填充、使用含氟蝕刻劑進行選擇性鎢蝕刻、化學氣相鎢沉積以及化學機械拋光鎢。在第9A圖中,基板160包括凹陷區域164(例如接觸孔或通孔),將其沉積以阻擋層(或襯底)166(例如鈦或氮化鈦)後,產生懸突168。
然後在阻擋層(或襯底)166之上以化學氣相沉積法部分沉積含鎢薄膜170。在第9B圖和第9C圖中,使用如上所述的氟物種進行選擇性鎢回蝕步驟。在一些實施例中,選擇性鎢蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性鎢蝕刻的溫度則可小於或等於50℃。
可以理解地是,先前沉積於上層表面和懸突168附近的含鎢薄膜170被蝕刻至阻擋層(或襯底)166。如果需要,可以重複鎢沉積與選擇性鎢蝕刻步驟一次或多次。在第9D圖中,係經化學機械拋光,從圖中可以看出,與第1A圖至第2D圖所顯示者相較之下,鎖眼明顯縮小或消失。
請參考第10圖,為顯示第9A圖至第9D圖中使用方法200的步驟流程圖。在步驟204中,係利用化學氣相沉積法以鎢進行凹陷區域的部分填 充。在步驟208中,係對鎢層進行選擇性蝕刻,其可使用如上所述的氟物種來進行。在一些實施例中,對鎢層進行選擇性蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性蝕刻的溫度可小於或等於50℃。在步驟212中,如果在一次步驟中無法完成該區域的填充,可以控制再一次或多次重覆步驟204以及步驟208。在步驟216中,係利用化學氣相沉積法以鎢進行填充。之後在步驟220中,則進行化學機械拋光。
請參考第11A圖至第11D圖,該些圖係使用一個或多個選擇性鎢蝕刻和選擇性鎢沉積步驟以完成鎢填充的方法示意圖。選擇性鎢蝕刻方式可以「自下而上」對例如溝渠型或孔洞型的凹陷區域進行鎢填充。在第11A圖中,基板230包括凹陷區域232(例如接觸孔或通孔),沉積阻擋層(或襯底)234(例如鈦或氮化鈦)後,產生懸突236。然後使用同形(conformal)化學氣相沉積法在該阻擋層(或襯底)之上沉積鎢層238。
關於含鎢薄膜的同形化學氣相沉積法可見於例如:於2003年10月21日核發之美國專利第6,635,965號,名稱為「具有改良階梯覆蓋的超薄鎢層的生產方法」、於2006年11月28日核發之美國專利第7,141,494號,名稱為「降低鎢薄膜的粗糙度與改善階梯覆蓋的方法」、美國專利公開第20080254623號,名稱為「高深寬比與小區域的低阻抗鎢的生長方法」、以及美國專利申請第12,755,248號,名稱為「用於小臨界尺寸接觸與互聯的超薄低阻抗鎢薄膜的沉積方法」。這些專利文獻在此全部引用作為參考。雖然前述參考文獻已描述了一個或多個可適當利用的同形化學氣相沉積法,仍可使用其他同形化學氣相沉積法與系統。
在第11B圖中,係進行選擇性鎢蝕刻,使用如上所述的氟物種進行選擇性鎢回蝕步驟。在一些實施例中,選擇性鎢蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於50℃。在第11C圖中,則係進行選擇性化學氣相沉積以填充鎢(僅例如,大於50 nm)。於此,選擇性的填充方式使沉積於該區域底部的鎢多於該區域的側壁或圖場。
關於選擇性化學氣相沉積的填充方法例如:美國專利公開第20110059608號,名稱為「通孔中選擇性鎢沉積的系統及方法」、於2012年 2月21日核發之美國專利第8,119,527號,名稱為「在高深寬比的區域中進行鎢的沉積」、於2012年2月21日核發之美國專利第8,124,531號,名稱為「在高深寬比的區域中進行鎢的沉積」、以及美國專利公開第20120115329號,名稱為「在高深寬比的區域中進行鎢的沉積」。這些專利文獻在此全部引用作為參考。雖然前述參考文獻已描述了多個可適當利用的選擇性化學氣相沉積填充方法,仍可使用其他選擇性化學氣相沉積填充系統與方法。
在第11D圖中,係進行選擇性鎢蝕刻。必要時可以重複選擇性化學氣相沉積鎢填充與選擇性鎢蝕刻,而後可以進行化學機械拋光步驟。
請參考第12圖,該圖係第11A圖至第11D圖中使用方法250的步驟流程圖。在步驟254中,利用同形化學氣相沉積法以鎢進行凹陷區域的填充。在步驟258中,進行選擇性鎢蝕刻。在步驟262中,進行選擇性化學氣相沉積填充。在一些實施例中,沉積可超過50 nm。在步驟264中,進行選擇性鎢蝕刻。在步驟266中,如果無法完成該區域之填充,可以再進行額外的選擇性化學氣相沉積鎢填充與選擇性鎢蝕刻。在步驟268中,可以進行化學機械拋光。
請參考第13A圖至第13C圖,該些圖為先前技術中在基板上製造薄膜的方法。在第13A圖中,在基板或下層300上沉積氧化層304。在該氧化層304上沉積由鈦或氮化鈦製成的阻擋層(或襯底)306,之後在阻擋層(或襯底)306上沉積鎢層308。在第13B圖中,進行蝕刻步驟,其消除部分鎢層308以及阻擋層(或襯底)306的暴露部分。之後,沉積鎢層(或其他材料)316。然而,此鎢層(或其他材料)316沉積在氧化層304處可能出現脫層現象。
請參考第14A圖至第14C圖,該些圖為使用選擇性鎢蝕刻並且在基板的邊緣處能控制化學氣相沉積鎢附著的薄膜製造方法。選擇性鎢蝕刻方法能在基板(例如晶圓)邊緣處的鈦或氮化鈦層上停止蝕刻,以防止在隨後的鎢沉積過程中的薄膜脫落。選擇性鎢回蝕步驟可以使用如上所述的氟物種進行。在一些實施例中,選擇性鎢蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於50℃。
在基板或於下層350上沉積氧化層354,之後在氧化層354上沉積鈦或氮化鈦層356,並在氮化鈦層356上沉積鎢層362。然後進行選擇性鎢蝕刻步驟,使移除部分鎢層362,但未移除氮化鈦層356的暴露部分。
在鎢層362上沉積以鎢層(或其他材料)366。鎢層(或其他材料)366與下面的氮化鈦層間具有優良的附著性,因而能降低或避免脫層問題的發生。
請參考第15圖,該圖為第14A圖至第14C圖中使用方法400的步驟流程圖。在步驟404中,於基板上沉積氧化層。在步驟408中,在該氧化層上沉積鈦或氮化鈦層。在步驟412中,沉積第一鎢層。在步驟416中,進行選擇性鎢蝕刻,以蝕刻部分第一鎢層。選擇性鎢回蝕步驟可使用如上所述的氟物種進行。在一些實施例中,選擇性鎢蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於50℃。在步驟420中,於第一鎢層上與至少部分的鈦或氮化鈦層上沉積第二鎢層(或其他材料)。
請參考第16A圖至第16D圖,該些圖為使用鎢填充凹陷區域的另一種方法。在第16A圖中,基板450形成有凹陷區域452,該凹陷區域452可為例如具有夾點或懸突456的通孔或接觸孔。以同形化學氣相沉積法,於該凹陷區域內填充鎢層454。在鎢層454中並產生空隙458。
在第16B圖中,進行選擇性鎢蝕刻藉以打開空隙458。選擇性鎢回蝕步驟可使用如上所述的氟物種來進行。在一些實施例中,選擇性鎢蝕刻的溫度可小於或等於150℃。在其他實施例中,選擇性鎢蝕刻的溫度可小於或等於100℃。在其他實施例中,選擇性鎢蝕刻的溫度小於或等於50℃。
在第16C圖中,利用選擇性化學氣相沉積法以鎢填充夾點456附近的區域。之後在第16D圖中,進行同形化學氣相沉積,以填充該區域的剩餘部分。
在提及的方法中,可使通孔關閉直至蝕刻程序結束,並保持該通孔內部的鎢的完好。同時,圖場與夾點處的鎢被過度蝕刻,使下層暴露出來。相較於夾點和通孔中暴露出的下層上的鎢的緩慢生長,隨後的沉積步驟允許通孔中存在的鎢快速再生。於通孔中選擇性快速再生能使其在頂部夾縮之前完成填充。
請參考第17圖,該圖為第16A圖至第16D圖中使用方法520的步驟流程圖。在步驟524中,進行同形化學氣相沉積以填充基板的區域。在步驟526中,進行選擇性鎢蝕刻以打開空隙。在步驟530中,以選擇性化學氣相沉積法於夾點附近的區域填充鎢。在步驟534中,進行同形化學氣相沉積以填充該區域的剩餘部分。而後可以進行化學機械拋光步驟。
在其他特徵中,在選擇性鎢蝕刻期間可以添加處理氣體以抑制鈦或氮化鈦的蝕刻。例如,可以添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中的一種或多種,以減慢鈦或氮化鈦蝕刻。例如,添加氧氣和氮氣時,會出現以下反應:TiFx+2O → TiO2+x/2 F2
TiFx+N → TiN+x/2 F2
在其他特徵中,阻擋層(或襯底)可以由TiCxNx或氮化鉭(TaN)製成以取代鈦或氮化鈦。在其他特徵中,可使阻擋層(或襯底)在鎢沉積之前氧化,以產生有效的蝕刻阻擋。
本發明提供新的鎢金屬化的處理能力,其可以利用於多種應用,例如邏輯與記憶接觸填充、DRAM埋入式閘極字線填充、垂直集成記憶閘/字線填充、三維整合(直通矽穿孔,TSV)等。
請參考第18圖,該圖為基板處理系統600用於處理部分製造的半導體基板的實施例。該基板處理系統600包括反應室618,其中設有底座620、淋浴噴頭614以及原位電漿產生器616。基板處理系統600還包括系統控制器622,用以接收輸入的信號及/或向各種裝置發出控制信號。雖然圖中僅顯示一個反應室,於此描述之處理過程亦可在一個或多個反應室中實施。
蝕刻劑以及在某些實施例中的惰性氣體612,例如氬氣、氦氣以及其他,可由提供源602提供至遠端電漿產生器606,其中提供源602可為儲存槽。將蝕刻劑在引入反應室618之前可以任何適當的遠端電漿產生器606先加以活化。
遠端電漿產生器606通常為可利用所提供蝕刻劑以產生弱離子化電漿的獨立裝置。嵌設於遠端電漿產生器606中的高功率RF產生器,可向電漿中的電子提供能量。這些能量傳送至中性蝕刻劑分子後,導致高溫並引起這些分子的熱分解。由於有RF的高能量,以及能夠使蝕刻劑吸收大部分該 些能量的特殊通道構型,遠端電漿產生器606可解離大部分送入的蝕刻劑分子。
在某些實施例中,蝕刻劑自遠端電漿產生器606由連接線608流入反應室618,於反應室618中混合物則係通過淋浴噴頭614加以散佈。在其他實施例中,蝕刻劑可完全繞過該遠端電漿產生器606(例如,不包括這種產生器的基板處理系統600)而直接流入反應室618。或者,當蝕刻劑流入反應室618時,可以關閉遠端電漿產生器606(例如不需要活化蝕刻劑時)。
在一實施例中,可以使用原位電漿產生器616。原位電漿產生器616為能夠在頻率約1 MHz至100 MHz間提供大約0 W至10,000 W的高頻(HF)產生器。在一更加具體的實施例中,該HF產生器可在頻率約13.56 MHz處以約0 W至5,000 W間傳送。該RF產生器可以產生原位電漿,以增強輔助最初鎢層的移除。在某些實施例中,在移除操作過程中並不使用該原位電漿產生器616(RF產生器)。
反應室618可以包括一個或多個感測器624,以感測各種處理參數,例如沉積與蝕刻的程度、濃度、壓力、溫度以及其他。感測器624可以將處理過程中反應室狀態的信息傳送給系統控制器622。感測器624的實施例包括質量流量控制器、壓力感測器、熱電偶以及其他。感測器624也可包括紅外線探測器或光學探測器,以監測反應室中的氣體並且控制測量。
沉積與選擇性移除操作時所產生各種的揮發物質,可自反應室618排出。此外,在反應室618中亦可設定在某一預定的壓力下,進行加工處理。前述功能可利用真空排出口626實現,而該真空排出口626可為真空泵。
系統控制器622可執行系統控制軟體,該軟體包括用於控制時間、混合氣體、室壓、室溫以及特定程序的其他參數的指令集。在一些實施例中,與該系統控制器連接的儲存裝置中的其他電腦程式亦可使用之。
用於控制處理工序的電腦程式碼可以為任何傳統電腦可讀的程式語言:例如,組合語言、C、C++、Pascal、Fortran或其他。編譯的目的碼或腳本可由處理器執行,以完成該程式中所定義的工作任務。此系統軟體可以不同的方式設計或配置。例如,不同反應室組件的子程式或控制物件可被寫入,以能控制完成上述處理方法所須需反應室組件的操作。實現此目的的程式或其部分可包括處理氣體控制碼、壓力控制碼以及電漿控制碼。
控制器參數與處理條件相關,例如:每個操作程序的時間、反應室內壓力、基板溫度、蝕刻劑流速等。這些參數得以處方的形式提供給使用者,並且可以經由使用者介面輸入。通過系統控制器622的類比及/或數位輸入連接,可以取得處理過程的偵測信號,而透過基板處理系統600此裝置的類比與數位輸出連接,則可輸出處理過程的控制信號。
請參考第19圖,該圖為包含有沉積室702與蝕刻室704的基板處理工具700的實施例。在沉積室702中可以進行含鎢薄膜的沉積。控制器708可以用於控制沉積室702及/或蝕刻室704的操作。控制器708可控制基板操作機712開始輸送基板至/自蝕刻室704及/或沉積室702。雖然沉積室702與蝕刻室704被顯示為同一基板處理工具700中的部件,但沉積室702與蝕刻室704亦可與不同的工具連接。
本發明可以各種形式加以廣泛實施。因此,雖然本發明包括具體實施例,但本發明的實際保護範圍不應該局限於此,因為在研究圖式、說明書、以及下述申請專利範圍的基礎上,所屬該技術領域熟悉該技術者可以對本發明作出各種修改。
本申請案主張於2011年6月30日提交的美國專利申請第61/503,271號的權益,該專利申請在此全部引用作為參考。
100、130、160、230、450‧‧‧基板
104、134、164、232、452‧‧‧凹陷區域
108、138、166、234、306‧‧‧阻擋層(或襯底)
110、140、168、236、456‧‧‧懸突
112、170‧‧‧含鎢薄膜
114、144‧‧‧鎖眼
142、238、308、316‧‧‧鎢層
200、250、400、520‧‧‧方法
204、208、212、216、220‧‧‧步驟
254、258、262、264、266、268‧‧‧步驟
300、350‧‧‧下層
304、354‧‧‧氧化層
356‧‧‧鈦或氮化鈦
362‧‧‧第一鎢層
366‧‧‧第二鎢層
404、408、412、416、420‧‧‧步驟
454‧‧‧鎢層
458‧‧‧空隙
524、526、530、534‧‧‧步驟
600‧‧‧基板處理系統
602‧‧‧提供源
606‧‧‧遠端電漿產生器
608‧‧‧連接線
610‧‧‧混合槽
612‧‧‧惰性氣體
614‧‧‧淋浴噴頭
616‧‧‧原位電漿產生器
618‧‧‧反應室
620‧‧‧底座
622‧‧‧系統控制器
624‧‧‧感測器
626‧‧‧真空排出口
700‧‧‧基板處理工具
702‧‧‧沉積室
704‧‧‧蝕刻室
708‧‧‧控制器
712‧‧‧基板操作機
本發明將通過詳細的描述以及所附圖式而被完全地理解。圖式中:第1A圖至第1D圖為說明先前技術中以化學氣相沉積法使用鎢填充的方法;第2A圖至第2D圖為說明先前技術中以化學氣相沉積法使用鎢填充的另一方法;第3圖為說明本發明實施例中鎢與氮化鈦的蝕刻速率、蝕刻選擇性與溫度的關係圖;第4圖為說明本發明實施例中鎢與氮化鈦的蝕刻速率、蝕刻速率選擇性與1/溫度(1/T)的關係圖;第5圖和第6圖分別為說明本發明實施例中於第一蝕刻劑流速下,鈦、氮化鈦的蝕刻速率與溫度變化的關係圖,以及鎢/鈦、鎢/氮化鈦的蝕刻速率 選擇性與溫度的關係圖;第7圖和第8圖分別為說明本發明實施例中於第二蝕刻劑流速下,鈦、氮化鈦蝕的刻速率與溫度變化的關係圖,以及鎢/鈦、鎢/氮化鈦的蝕刻速率選擇性與溫度的關係圖;;第9A圖至第9D圖為本發明實施例中的填充方法示意圖;第10圖為說明本發明在第9A圖至第9D圖中處理方法的步驟流程圖;第11A圖至第11D圖為本發明另一實施例中的填充方法示意圖;第12圖為說明本發明在第11A圖至第11D圖中處理方法的步驟流程圖;第13A圖至第13C圖為說明先前技術中在基板上製造薄膜的方法;第14A圖至第14C圖為本發明實施例中在基板上製造薄膜的方法示意圖;第15圖為說明在第14A圖至第14C圖中處理方法的步驟流程圖;第16A圖至第16D圖為本發明另一實施例中在基板上製造薄膜的方法示意圖;第17圖為說明在第16A圖至第16D圖中使用處理方法的步驟流程圖;第18圖為本發明實施例中反應室的示意圖;以及第19圖為本發明實施例中包含有沉積室與蝕刻室的基板處理工具的示意圖。
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
212‧‧‧步驟
216‧‧‧步驟
220‧‧‧步驟

Claims (15)

  1. 一種填充基板凹陷區域的方法,包括以下步驟:(a)提供包含一襯底/阻擋層、與一凹陷區域之基板;(b)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,將一含鎢薄膜至少部分填充於該基板之該凹陷區域;(c)在一小於或等於150℃之預定溫度下,使用一包含有活化氟物種的蝕刻劑以高於該襯底/阻擋層之蝕刻速率的蝕刻速率選擇性地蝕刻該凹陷區域中的該含鎢薄膜,其中該蝕刻暴露該凹陷區域中的該襯底/阻擋層之至少一部分但不移除該凹陷區域底部所有該含鎢薄膜;(d)重複(b)與(c)一或更多次,其中,在(c)的初次重複期間,該蝕刻將該凹陷區域中的該含鎢薄膜移除到低於該基板之圖場的位準;以及(e)利用化學氣相沉積與原子層沉積其中至少一種方法填充該凹陷區域。
  2. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中步驟(b)包括使用該含鎢薄膜填充該凹陷區域,以夾縮該凹陷區域的開口。
  3. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中步驟(b)包括使用該含鎢薄膜填充該凹陷區域,以關閉該凹陷區域的開口。
  4. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中步驟(c)係在化學氣相沉積室與蝕刻室其中之一中進行。
  5. 依據申請專利範圍第2項所述之填充基板凹陷區域的方法,其中該襯底/阻擋層包括鈦與鉭的其中之一。
  6. 依據申請專利範圍第2項所述之填充基板凹陷區域的方法,其中該襯底/阻擋層包括鈦、氮化鈦、氮化鉭以及TiCxNx的其中之一。
  7. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,進一步包括在步驟(e)之後進行該基板的化學機械拋光(CMP)。
  8. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中該預定溫度小於或等於100℃。
  9. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中該預定溫度小於或等於50℃。
  10. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,其中該襯底/阻擋層包括一懸突,該懸突在該凹陷區域的開口處產生一夾點。
  11. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,進一步包括添加氮氣、氧氣、氫氣、氦氣、氬氣或氟氣其中一種或數種,以減慢該襯底/阻擋層的蝕刻。
  12. 依據申請專利範圍第1項所述之填充基板凹陷區域的方法,進一步包括在步驟(b)之前氧化該阻擋層。
  13. 一種填充基板凹陷區域的方法,包括:(a)提供包含一襯底/阻擋層、與一凹陷區域之基板;(b)氧化該襯底/阻擋層; (c)利用化學氣相沉積(CVD)與原子層沉積(ALD)其中至少一種方法,將一含鎢薄膜至少部分填充於該基板之該凹陷區域;(d)在一小於或等於150℃之預定溫度下,使用一包含有活化氟物種的蝕刻劑以高於該襯底/阻擋層之蝕刻速率的蝕刻速率選擇性地蝕刻該凹陷區域中的該含鎢薄膜,且其中該蝕刻移除該凹陷區域中的該含鎢薄膜,且該蝕刻暴露該凹陷區域中的該襯底/阻擋層之至少一部分但不移除該凹陷區域底部所有該含鎢薄膜;(e)重複(c)與(d)一或更多次,其中在(d)的初次重複期間,該蝕刻將該凹陷區域中的該含鎢薄膜移除到低於該基板之圖場的位準;以及(f)利用化學氣相沉積與原子層沉積其中至少一種方法至少部份填充該凹陷區域。
  14. 依據申請專利範圍第13項所述之填充基板凹陷區域的方法,其中在(d)的初次重複之後,該凹陷區域之該底部與該基板之該圖場相比,該位準係較靠近該凹陷區域之該底部。
  15. 依據申請專利範圍第13項所述之填充基板凹陷區域的方法,其中在(c)的初次重複之後,該凹陷區域之該底部與該基板之該圖場相比,該位準係較靠近該凹陷區域之該底部。
TW101123764A 2011-06-30 2012-07-02 用於控制各種材料的蝕刻選擇性的系統及方法 TWI558836B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161503271P 2011-06-30 2011-06-30
US13/536,095 US8883637B2 (en) 2011-06-30 2012-06-28 Systems and methods for controlling etch selectivity of various materials

Publications (2)

Publication Number Publication Date
TW201303063A TW201303063A (zh) 2013-01-16
TWI558836B true TWI558836B (zh) 2016-11-21

Family

ID=47391082

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101123763A TWI557796B (zh) 2011-06-30 2012-07-02 用於控制各種材料的蝕刻選擇性的系統及方法
TW101123764A TWI558836B (zh) 2011-06-30 2012-07-02 用於控制各種材料的蝕刻選擇性的系統及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101123763A TWI557796B (zh) 2011-06-30 2012-07-02 用於控制各種材料的蝕刻選擇性的系統及方法

Country Status (4)

Country Link
US (1) US8883637B2 (zh)
KR (1) KR101951062B1 (zh)
TW (2) TWI557796B (zh)
WO (1) WO2013003676A2 (zh)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN113862634A (zh) 2012-03-27 2021-12-31 诺发***公司 钨特征填充
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9887095B2 (en) 2013-03-12 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an etch process with silicon concentration control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9704798B2 (en) * 2013-12-20 2017-07-11 Intel Corporation Using materials with different etch rates to fill trenches in semiconductor devices
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9595466B2 (en) 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
SG11202001565UA (en) * 2017-09-25 2020-03-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10685905B2 (en) 2018-01-24 2020-06-16 Toyota Motor Engineering & Manufacturing North America, Inc. Multi-layer cooling structure including through-silicon vias through a plurality of directly-bonded substrates and methods of making the same
US10157817B1 (en) 2018-01-26 2018-12-18 Toyota Motor Engineering & Manufacturing North America, Inc. Chip-scale cooling device having through-silicon vias and flow directing features
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7257930B2 (ja) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN115461846B (zh) 2020-03-31 2023-07-25 玛特森技术公司 使用氟碳等离子体的工件的加工

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW412792B (en) * 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
TW201028494A (en) * 2008-12-10 2010-08-01 Novellus Systems Inc Methods for depositing tungsten films having low resistivity for gapfill applications

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0571691B1 (en) * 1992-05-27 1996-09-18 STMicroelectronics S.r.l. Metallization over tungsten plugs
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5994220A (en) * 1996-02-02 1999-11-30 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5654234A (en) * 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5641710A (en) * 1996-06-10 1997-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch back anneal, to improve aluminum step coverage
KR100458295B1 (ko) 1997-12-30 2005-04-06 주식회사 하이닉스반도체 반도체소자의콘택플러그형성방법
JP2000306997A (ja) * 1999-04-20 2000-11-02 Nec Corp バリアメタル層を有する半導体装置及びその製造方法
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2003142484A (ja) * 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US20070049017A1 (en) * 2005-08-29 2007-03-01 Chao-Ching Hsieh Plug fabricating method for dielectric layer
US20070298607A1 (en) 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
KR100745954B1 (ko) * 2006-07-05 2007-08-02 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) * 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8193081B2 (en) * 2009-10-20 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for metal gate formation with wider metal gate fill margin

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW412792B (en) * 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
TW201028494A (en) * 2008-12-10 2010-08-01 Novellus Systems Inc Methods for depositing tungsten films having low resistivity for gapfill applications

Also Published As

Publication number Publication date
WO2013003676A3 (en) 2013-04-25
TWI557796B (zh) 2016-11-11
TW201308422A (zh) 2013-02-16
WO2013003676A2 (en) 2013-01-03
US8883637B2 (en) 2014-11-11
KR101951062B1 (ko) 2019-02-21
KR20140061375A (ko) 2014-05-21
TW201303063A (zh) 2013-01-16
US20130005140A1 (en) 2013-01-03

Similar Documents

Publication Publication Date Title
TWI558836B (zh) 用於控制各種材料的蝕刻選擇性的系統及方法
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
TWI609455B (zh) 利用成核抑制之鎢特徵部塡充
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
TWI495756B (zh) 將鎢沈積入高深寬比的特徵中
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
CN110459503B (zh) 一种填充衬底中的特征的方法和装置
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
JP6273257B2 (ja) タングステンによるフィーチャ充填
US8435894B2 (en) Depositing tungsten into high aspect ratio features
US20170040214A1 (en) Atomic layer etching of tungsten for enhanced tungsten deposition fill
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
KR20180025268A (ko) 금속들을 에칭하기 위한 연속 rf 플라즈마 및 펄싱된 rf 플라즈마
KR20220082023A (ko) 몰리브덴 충진
JP2015038964A (ja) 3次元半導体フィーチャ内での空隙を含まないタングステン充填のための方法および装置
TWI825284B (zh) 鎢或其他金屬層的原子層蝕刻(ale)
JP2021528571A (ja) プラズマベースの堆積のための表面改質深さ制御堆積
US20220181141A1 (en) Etch stop layer
US20240105509A1 (en) Middle of line dielectric layer engineering for via void prevention