TWI536193B - 處理腔室的預測預防維護用之方法與設備 - Google Patents

處理腔室的預測預防維護用之方法與設備 Download PDF

Info

Publication number
TWI536193B
TWI536193B TW099121519A TW99121519A TWI536193B TW I536193 B TWI536193 B TW I536193B TW 099121519 A TW099121519 A TW 099121519A TW 99121519 A TW99121519 A TW 99121519A TW I536193 B TWI536193 B TW I536193B
Authority
TW
Taiwan
Prior art keywords
loss data
data values
model
health
part loss
Prior art date
Application number
TW099121519A
Other languages
English (en)
Other versions
TW201108022A (en
Inventor
路克 愛爾芭瑞德
艾利克 派博
維傑亞庫瑪C 維納寇帕
布萊恩D 崔
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201108022A publication Critical patent/TW201108022A/zh
Application granted granted Critical
Publication of TWI536193B publication Critical patent/TWI536193B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Factory Administration (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Complex Calculations (AREA)
  • Plasma Technology (AREA)
  • Combined Controls Of Internal Combustion Engines (AREA)
  • Arrangements For Transmission Of Measured Signals (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)
  • Indication And Recording Devices For Special Purposes And Tariff Metering Devices (AREA)

Description

處理腔室的預測預防維護用之方法與設備
本發明係關於處理腔室的預測預防維護用之方法與設備。
本發明依35 U.S.C. 119(e)主張共同擁有的臨時專利申請案「電漿處理機具的先進設備控制/先進製程控制之方法與系統」之優先權,其為美國申請案第61/222,102號,代理人案號第P2012P/LMRX-P183P1號,於2009年6月30日提出申請,發明人為Venugopal等人,併入全文以供參考。
此部分連續案依37 CFR 1.53(b)與35 U.S.C. §120主張共同受讓之專利申請案「在製程模組階段辨識不受控制事件之佈置與其方法」之優先權,其發明人為Huang等人,代理人案號第P2002/LMRX-P179號,於2009年9月8日提出申請而申請序號為第12/555,674號,此案相關並依35 U.S.C. §119(e)主張共同受讓之臨時專利申請案「在製程模組階段辨識不受控制事件之佈置與其方法」之優先權,發明人為Huang等人,代理人案號第P2002P/LMRX-P179P1號,於2000年6月30日提出申請而申請序號為第61/222,024號,併入全文以供參考。
電漿處理的發展已導致半導體產業的大幅成長。電漿處理系統可由許多零件組成。為便於討論,詞彙「零件」將用以意指在電漿處理系統中的微小或多重部分的組件。因此,零件可如邊緣環般簡單,或是可如整個製程模組般複雜。多重部分零件(如製程模組)可由其他多重部分零件(如真空系統、氣體系統、電源系統等等)形成,其相應可由其他多重部分或微小零件形成。
一個以上的零件會隨著時間流逝而損耗。熟習本技術者熟知若是未修理/更換損耗零件,損耗零件會對腔室以及/或是基板造成損害。辨識哪個零件需要更換的一種方法包括使用零件更換的固定時程表。換句話說,有效壽命期間可視為每個零件的先天條件。可追蹤每個零件之使用,而當零件到達其有效壽命的終點(由固定有效壽命時程表所預定)時可更換零件。
不幸地,為更換/修理所用的預定零件有效壽命之方法有其限制。首先,零件的有效壽命會依零件的周遭環境而有所變化。在一實例中,可使用零件1於處理腔室中,其可能經歷與零件2不同的製程配方或混合製程配方。因此,即使兩個零件可能為相同的樣式與型式,零件1可能會在零件2之前損耗。
因此,以預定有效壽命方法,即使零件的有效壽命尚未真的結束,仍會產生使處理腔室離線並更換零件之相關的非必要成本。此外,預定有效壽命方法並未考量到下列可能性:零件在其預定的理論有效壽命到期之前過早損耗。在許多情況下,零件的惡化狀況會導致基板受損以及/或是甚至損害處理腔室中的腔室與其他零件。
判定零件損耗的一種方法會涉及追蹤單一參數的演化,又稱為單變量模式。在一實例中,零件的健康狀況可藉由追蹤若干感測器所測量的單一參數來監控。舉例而言,可追蹤RF偏壓。若RF偏壓高於預定門檻,舉例來說,可視邊緣環為已達到其有效壽命的終點。
不幸地,單變量方法亦有其限制。如先前所述,特定零件係藉由追蹤單一參數來監控。然而,該參數可能受到非該特定零件的狀況之影響作用所左右。在一實例中,為了監控邊緣環的狀況可監控RF偏壓。然而,RF偏壓值可能受到不僅是邊緣環的狀況之影響作用所左右。舉例來說,RF偏壓亦可能受到腔壁的沉積影響。因此,當辨識出高RF偏壓時,高RF偏壓值不必然為邊緣環可能存在問題之指標。而是問題可能存在,但在辨識出問題原因之前需要進一步分析。
單變量方法的另一問題為單變量方法能為一「運轉/不運轉」方法。換句話說,單變量方法係經常用以辨識何時會存在故障狀況,以能更換零件。然而,單變量方法可能無法協助預測何時(而非是否)會需要更換零件。換句話說,在此情境下,單變量方法可能(在最佳情況下)係用以辨識問題而非預測何時可能產生問題(例如有效壽命的結束)。
所以,當零件(如邊緣環)真的損耗時,可能無法立即取得更換零件。因此,舉例來說,處理腔室會需要保持離線直到能取得可供更換的新邊緣環。當然,製造公司可選擇總是備有可用的更換品(如邊緣環)。因為即使零件仍處於良好的工作狀況下,製造公司仍必須分配資源(金錢與儲存空間)以備有可用零件,所以總是備有備用零件的方法會變得很花錢。
辨識零件損耗的另一方法包括使用監控貼片。監控貼片為可置於零件上的物件。監控貼片可置於靠近零件的表面或可嵌入零件中。舉例來說,若是監控貼片已損耗完,可視零件為在其有效壽命的終點。若是監控貼片為嵌入式,舉例來說,當監控貼片變成肉眼可視時,可視零件為在其有效壽命的終點。
監控貼片方法有許多限制。首先,欲監控的每一個零件皆需要一個監控貼片。因此,若是需要監控100個零件,監控貼片就必須置於每個零件上。依照要監控的零件數量,監控貼片方法為了實施與監控可變得相當花錢與耗時。
並且,使用監控貼片會提高汙染的風險。監控貼片為必須置於處理腔室中的外來物。如之前所述,處理腔室的狀況必須受嚴密控制以預防損害腔室以及/或是基板。引入一個以上的監控貼片於處理腔室中可能改變處理環境。此外,由於監控貼片存在於處理腔室中而改變處理環境的程度可能為未知或難以測量。
監控貼片方法的另一限制為:置放監控貼片在零件上可能有損該零件的機械功能。換句話說,邊緣環的機械性能可能隨著監控貼片嵌入該環而改變。不幸地,因為每個零件以及/或是每個貼片皆為獨特的,所以貼片改變零件功能的程度會有所變化。
因此,用以預測零件損耗之非侵入式方法是受期待的。
本發明一實施例係關於評估處理腔室的健康狀態之一種方 法。該方法包括實施配方。該方法亦包括在實施配方期間從一組感測器接收處理資料。該方法更包括使用一組多變量預測模型分析處理資料。該方法還包括產生一組零件損耗資料值。該方法另外包括比較該組零件損耗資料值與一組有效壽命門檻範圍。該方法尚包括若是該組零件損害資料值在該組有效壽命門檻範圍之外則產生警告。
上述發明內容係僅關於本文所揭露之本發明眾多實施例的其中一者,而非意圖用以限制本發明範疇,其係在本文申請專利範圍中提出。本發明的這些及其他特點將在下述本發明的實施方式中偕同隨附圖式而予以詳述。
本發明將參照如隨附圖式所描繪之些許實施例而詳細描述之。在下列描述中,為了提供對本發明的詳細理解而提出大量具體細節。然而,熟習本技術者當可明白本發明可在不具若干或全部具體細節下實行。另一方面,為了避免不必要的混淆本發明,並未詳述熟知的製程步驟以及/或是結構。
於此之下將描述數個實施例,包括方法與技術。應當謹記在心本發明亦涵蓋製造製品,包括電腦可讀媒體,在其中可儲存用以執行本發明技術實施例的電腦可讀指令。舉例來說,電腦可讀媒體可能包括半導體、磁性的、光磁的、光學的、或其他形式之儲存電腦可讀編碼的電腦可讀媒體。另外,本發明亦涵蓋實行本發明實施例的設備。此類設備可包括電路(專用以及/或是程式化)以執行有關本發明實施例之任務。此類設備的實例包括通用電腦以及/或是專用運算裝置,其經過適當程式設計並可包括適合於有關本發明實施例之各式任務的電腦/運算裝置以及專用/程式化電路之組合。
雖然將會討論到統計模型的一些細節以協助理解整體技術,但本發明係關於配件損耗之預測以及如何使用該預測於預防維護中。可用於執行所述預測的特定模型會依腔室或涉及的配件而 定。然而,當知任何模型(例如統計模型、電氣模型、電漿模型、混合模型、模型之組合等等)皆可使用,且使用特定腔室、特定配件以及/或是特定配方之特定模型為熟習通常技術者的範疇內。
在本發明的實施例中,提供用以評估腔室健康狀態的方法。本發明的實施例包括執行腔室健康指標測試。此述所論的腔室健康指標測試意指可判定以及/或是預測在處理腔室中的一組零件(如消耗配件組)之健康狀態之測試。換句話說,腔室健康指標測試可被用以判定以及/或是預測一零件何時會達到其有效壽命的終點。
在本發明的一實施例中,提供用以評量腔室健康狀態的方法。在一實例中,可建構一個以上的多變量預測模型以在處理腔室中執行腔室健康指標測試。不像先前技術,該預測模型係基於多個參數而非單一參數。所以,實施腔室健康指標測試不僅可辨識零件何時會損毀,亦可預測該零件剩餘有效壽命。
在一實施例中,可基於預防維護週期(此處亦稱為濕清潔週期)期間在各點所蒐集的資料建構一組穩健預測模型(如多變量預測模型組)。為了消除關於腔室狀況而非真的零件本身之資料組中的雜訊,會至少在濕清潔週期的開始與結束蒐集資料。在本發明的一實施例中,亦可基於在多個腔室之間所蒐集的資料建構一組穩健預測模型。在多個腔室之間蒐集資料亦為了消除特定腔室特有而非零件狀況所相關的腔室狀況之雜訊。
一旦已建構該組多變量預測模型,就可套用該組多變量預測模型於生產環境中以鑑定腔室及其零件的狀況。本發明的實施例提供使用單一多變量預測模型以鑑定零件之方法。換句話說,單一多變量預測模型可用於鑑定單一零件。在本發明的一實施例中,提供套用多於一個的多變量預測模型以預測多個配件的損耗及判定腔室健康指標之方法。
在一實施例中,會合併使用非電漿測試(NPT,non-plasma test)與腔室健康指標側試。在一實施例中,NPT係可用以估量何時需要實施腔室健康指標測試。此處所論的非電漿測試意指藉由施加 一個以上的預定頻率之低電能至處理腔室且不引發電漿之所施行測試。即使在測試期間未生成電漿,仍舊可提供近似處理腔室狀況之充足資料。因為NPT為執行起來相當快速且花費低廉的測試,所以可使用NPT作為腔室健康指標測試之前導。在本發明的一實施例中,亦可使用NPT來驗證腔室健康指標測試。在一實施例中,為了驗證,可使NPT的資料與在腔室健康指標測試期間所蒐集的資料相互關連。
參照圖式與下列討論會更加理解本發明的特點與優點。
如先前所述,腔室健康狀態可用以判定何時需要維護。為了評估腔室健康狀態(尤其是消耗配件)可執行腔室健康指標測試。可基於模型方式執行腔室健康指標測試。
圖1-圖4呈現(在本發明的實施例中)套用一個以上的多變量預測模型以鑑定一組零件之不同方法。
圖1呈現(在本發明的實施例中)套用單一多變量預測模型以鑑定一組零件之簡單流程圖。
在第一步驟102,於處理腔室中執行一配方。該配方可為客戶特定配方、WAC(無晶圓自動清洗,waferless autoclean)配方、或非客戶特定配方。
此處所論的客戶特定配方係為特定製造公司量身訂做之配方。在一實例中,客戶特定配方為特定製造公司特有之真正生產就緒(production-ready)製程配方。在一實施例中,使用客戶特定配方的能力能令使用者(如製程工程師)特別針對其需求建構多變量模型。
此處所論的非客戶特定配方意指設計為使對於特定消耗配件損耗的靈敏度為最大之配方。在一實例中,非客戶特定配方可為配置以分析電漿處理系統特定況狀之配方。具有與非客戶特定配方所述明的腔室設定相似的處理腔室之任何製造公司皆可使用非客戶特定配方。
另一可用配方為無晶圓自動清洗(WAC)配方。WAC配方可在生產運作之後執行。WAC配方通常不為腔室特定。
可實施的配方形式係依多變量預測模型而定。在一實例中,若是已使用客戶特定配方建構多變量預測模型,則可用在該多變量模型之配方亦為客戶特定配方。
在下一步驟104,藉由一組感測器(如壓力感測器、溫度感測器、VI探針、OES、朗謬探針等等)蒐集處理資料。
在下一步驟106,套用模型至處理資料上。換句話說,系統會比較處理資料與多變量預測模型。在一實施例中,預測模型為統計模型。在另一實施例中,預測模型為電氣模型。而在另一實施例中,預測模型為電漿模型。另外,步驟106不限於分析一個零件。而是不同的多變量預測模型可用在相同的資料組上以分析不同零件的健康狀況。
在一實施例中,多變量預測模型可從資料庫(108)拉入資料。該資料庫可包括用以支持模型的資料(如常數)。
已執行分析之後,在步驟110,預測模型會輸出每個被分析零件的零件損耗資料。換句話說,會產生詳述每個被分析零件的健康狀態之資料報告。
在下一步驟112,系統會比較輸出資料與有效壽命門檻範圍。舉例來說,每個零件的有效壽命門檻係基於專家知識。在一實施例中,使用者可配置有效壽命門檻。因此,使用者可為了配合機具配置、配方配置等等而修改有效壽命門檻。
若是輸出資料在有效壽命門檻之外,則在下一步驟114即會提供警告/錯誤訊息。警告/錯誤訊息會指明已導致違反有效壽命門檻之參數。由輸出報告的資料,使用者(如製程工程師)可充滿信心地進行判定矯正問題所需的作法走向。舉例來說,在一實例中可能必須修理或更換零件。
若是輸出資料在有效壽命門檻內,則被分析的每個零件係視為在良好運作狀況中。在下一步驟116,系統會在再次執行如圖1所述的方法之前等到下一次的測量區間。
如可由圖1所知,藉由套用多變量模型,使用者能夠判定一個以上的零件之健康狀況。因此,預測模型不僅可辨識零件何時 惡化,亦可預測該零件何時會損耗至無法接受。在一實例中,因為邊緣環仍可正常運作,所以輸出報告未呈現邊緣環為損耗。然而,該輸出報告會呈現已消耗該邊緣環有效壽命的約百分之七十五,且很快就需要更換該邊緣環。具備此知識,製造公司就可針對將至的修理作計畫。
圖2呈現(在一實施例中)一簡單流程圖,其描繪套用多個預測模型以判定零件的健康狀況之方法。
在第一步驟202,於處理腔室中實施一配方。與圖1相似,該配方可為客戶特定配方、WAC配方、或非客戶特定配方。
在下一步驟204,藉由一組感測器(如壓力感測器、溫度感測器、V1探針、OES、朗謬探針等等)蒐集處理資料。
在下一步驟206,套用一組模型至處理資料上。換句話說,兩個以上的模型(208、210與212)會用以分析處理資料。在一實例中,兩個模型會用以鑑定零件。舉例而言,模型208可為多變量統計模型而模型210為電氣模型。
與圖1相似,步驟206可套用不同的預測模型在相同的資料組上以分析不同零件的健康狀況。
在一實施例中,該組模型可由資料庫(214)拉入資料。該資料庫包括用以支持模型之資料(如常數)。
已執行分析之後,在下一步驟216,系統會檢查以判定模型的輸出是否匹配。在一實例中,若是多變量模型208的輸出呈現零件有百分之九十的損耗,而多變量模型210的輸出呈現相同的零件有百分之七十五的損耗,則模型的輸出資料不匹配。
若是模型的輸出資料不匹配,則在下一步驟218可套用具有較少雜訊的模型在由較穩健模型而來的資料上。在一實例中,模型208為電氣模型,且為較穩健模型但具有很高的雜訊等級。然而,模型210為統計模型,且較不穩健但具有較低的雜訊等級。此處所論的穩健模型意指具有極少離群值(統計異常)的模型。在一實施例中,可套用較不穩健但較低雜訊的模型(模型210)在由較穩健模型(在此實例中為模型208)而來的資料上,以鑑別該零件。此 方法使得雜訊降低而準確度提高。
此外,因為模型的輸出不匹配,系統亦會報告差異並標明該模型以供更新(步驟220)。換句話說,可蒐集額外資料以重建一個以上的模型。在一實例中,可執行圖5所述的方法(稍後將會討論)以重建(利用不同/額外的資料或不同的建模方式)電氣模型(208)與統計模型(210),以調整雜訊等級至與該模型較相關。
然而,若是模型輸出的資料匹配,則在下一步驟222,預測模型會輸出被分析的零件之零件損耗資料。
在下一步驟224,系統會比較輸出資料與有效壽命門檻範圍。
若是超過有效壽命門檻,則在下一步驟226會提供警告/錯誤訊息。
若是輸出資料在有效壽命門檻之外,則在下一步驟228,系統會等到下次測量區間。
如由圖2可知,圖2所描述的方法與圖1所描述的方法在某程度上類似,除了在圖2所述的方法使用多個預測模型而非單一多變量預測模型。驗證可藉由使用多於一個的預測模型而提供。並且,若是模型的其中之一較不穩健,額外模型可用以彌補較不穩健的模型。
圖3呈現(在本發明的一實施例中)一簡單流程圖,其描繪套用多變量預測模式以及非電漿測試(NPT)之方法。
在第一步驟302執行非電漿測試。此處所論的非電漿測試意指藉由發送預定頻率的低電能至處理腔室所執行的快速測試。該電能不可強到足以激發電漿但足夠提供關於腔室的電子資料(如阻抗、電容值等等)。在一實施例中,非電漿測試可作為腔室健康指標測試的前導。換句話說,若是NPT呈現出零件之一可能存有潛在問題,就可實施腔室健康指標(@[62]/P7 chamber health index test腔室健康指標測試)。因為相較於腔室健康指標測試,NPT為快速且相當低廉的測試,所以使用NPT為前導可幫助降低持有成本。
若是NPT指出可能存在電位問題,則可在下一步驟304執行腔室健康指標測試。為了啟動腔室健康指標測試,要先實施配方。
在下一步驟306取得分析的資料。
在下一步驟308,為了分析套用一個以上的模型在資料上(請看圖1與2的討論)。
為了執行分析,亦會從資料庫310拉入資料。資料庫包括用以支持模型的資料(如常數)。
一但已完成資料分析,就會提供關於每個被分析零件的健康狀況之輸出報告(步驟312)。
在下一步驟314,系統會比較輸出資料與有效壽命門檻範圍。舉例而言,每個零件的有限壽命門檻係基於專家知識。在一實施例中,使用者可配置有效壽命門檻。因此,使用者可為了配合機具配置、配方配置等等而修改有效壽命門檻。
若是輸出資料在有限壽命門檻範圍之外,則在下一步驟316會提供警告/錯誤訊息。警告/錯誤訊息會指明已導致違反有效壽命門檻之參數。由輸出報告的資料,使用者(如製程工程師)可充滿信心地進行判定矯正問題所需的作法走向。舉例來說,在一實例中可能必須修理以及/或是更換零件。
若是輸出資料在有限壽命門檻範圍內,則在下個步驟318,系統會等到下個測量區間。
如由圖3可知,用以鑑定零件的步驟與圖1以及/或是圖2所述的步驟在某種程度上類似。不像圖1以及/或是圖2,圖3所述的方法屬於用以辨識下個測量區間之量化方法。換句話說,NPT係用以作為何時必須執行下個腔室健康指標測試之指標。如由前述可知,若是多於一個的多變量預測模型用以分析資料,則可調整圖3所述的步驟。
圖4呈現(在本發明的一實施例中)一簡單流程圖,其描繪使用多變量預測模型以及來自非電漿測試的資料來鑑定零件之方法。雖然圖3與圖4皆使用非電漿測試,圖4所述的方法與圖3所述的方法之不同在於NPT係用以驗證而非作為腔室健康指標測試之前導。
在第一步驟402實施配方。
在下一步驟404,處理資料於處理期間取得。
在下一步驟406,為了分析,套用一個以上的模型在資料上。在一實施例中,亦可由資料庫408拉入資料。
分析結果係供作輸出報告(步驟410)。
在下一步驟412,系統會分析結果以判定需要額外驗證。當步驟410的結果為不確定或太多雜訊時會需要額外驗證。在一實施例中,會比較結果與預定雜訊等級門檻範圍。若結果在預定雜訊等級門檻範圍之外,就會需要驗證。
若需要額外驗證,則在下一步驟414會實施NPT。
在NPT期間蒐集資料(步驟416)。
令NPT的資料與模型分析相互關連,而NPT資料係用以驗證模型分析期間所蒐集的資料(步驟418)。
輸出合併資料作為詳述被分析零件的健康狀況之單獨報告(步驟420)。
當然,若是不需要額外驗證(在步驟412),則會輸出配件損耗資訊(從步驟410而來)作為單獨報告(步驟420)。
在下一步驟422,系統會比較輸出資料與有效壽命門檻範圍。每個零件的有效壽命門檻可基於專家知識。在一實施例中,使用者可配置有效壽命門檻。因此,使用者可為了配合機具配置、配方配置等等而修改有效壽命門檻。
若是輸出資料在有效壽命門檻範圍之外,則在下一步驟424會提供警告/錯誤訊息。警告/錯誤訊息會指明已導致違反有效壽命門檻之參數。由輸出報告的資料,使用者(如製程工程師)可充滿信心地進行判定矯正問題所需的作法走向。舉例來說,在一實例中可能必須修理以及/或是更換零件。
若是輸出資料在有效壽命門檻範圍內,則在下一步驟426,系統會等到下次測量區間。
如由圖4可知,穩健方法係供以鑑定零件。穩健方法不僅提供多於一個的多變量模型以執行零件分析,亦包括NPT以驗證多變量預測模型的結果。
如由前述可知,評估腔室健康狀態的方法可為模型方式。在一實施例中,模型方式可基於電氣模型、電漿模型、統計模型、或混合模型。為了說明如何建構模型,圖5呈現(在本發明的實施例中)一簡單流程圖,描繪用以建構多變量預測模型以鑑定零件之方法。
在第一步驟502提供零件壽命資料。零件壽命資料可包含零件的功能以及/或是實體測量值。在一實例中,若是零件為嶄新的,實體測量值係經常由製造商提供。然而,若零件非全新的,則零件壽命可藉由實際測量零件而定。
在下一步驟504實施配方。舉例而言,該配方可為客戶特定配方、非客戶特定配方、WAC(晶圓自動清洗)配方。
依照使用者(如製程工程師)所設的需求,可實施一個以上的前述配方形式,以獲得建構用以鑑定處理腔室的零件之模型所需的資料。
在下一步驟506於處理期間蒐集處理資料。處理資料可藉由一組感測器捕捉。如由前述可知,可用的感測器數量、可用的感測器形式、以及感測器的規格皆會影響所蒐集處理資料的量與精細度。舉例來說,可用在處理腔室中的感測器實例非限制性地包括壓力感測器、溫度感測器、電壓-電流探針(VIP)、光放射光譜(OES,optical emission spectroscopy)等等。尤其是VIP與OES的資料會涉及以特定頻率或其諧波分離寬頻輸出。或者,由感測器而來的全寬頻光譜之比較可為分析基礎。
在一實施例中,為了解決在濕清洗週期期間可能發生的電位漂移,可在濕清洗週期期間於不同的時間區間實施步驟504與506。舉例來說,當零件(例如邊緣環)才剛安裝好時可執行這些步驟。這些步驟亦可在接近濕清洗週期的中間與結束時重複。可用以建構多變量模型的資料量依步驟504與506所實施的次數而定。如由前述可知,步驟504與506可實施的次數係依使用者可在建構模型中從額外資料取得之好處而定。
因為參數會在執行維護事件(如濕清洗)之後改變,所以在建構 多變量模型之前,需要辨識並消除或調整會受濕清洗影響的參數。在一實例中,在清洗處理腔室的透明窗之後,某些波長所測量的強度(由OES)可能會變。為了消除可能受濕清洗影響的參數,在一實施例中,必須在濕清洗週期中實施多次的步驟504與506(508)。
此外或另外,亦可在多個處理腔室中實施步驟502-506(510)。為了建構可用在多個處理腔室(假定相同/相似的腔室狀況)之多變量模型,必須辨識並消除或調整可能受腔室相關狀況影響之參數。舉例而言,在不同處理腔室執行標準鑑定配方的實施期間所蒐集的資料,可用以發展從一個腔室轉換感測器輸出至另一個之規則,因而使兩個腔室的輸出匹配。
在本發明的一實施例中,若是感測器匹配,就可不必為了消除可能與零件損耗無關的參數變化,而在濕清洗週期以及/或是多個處理腔室中重複步驟502-506。匹配的感測器應當恢復至某定義狀態之相同的絕對值。若是使用兩個匹配的感測器,則兩個同樣的腔室之測量腔室阻抗將會相同。
一旦已蒐集足夠資料,在下一步驟512可建構零件的多變量模型。不像先前技術,多變量模型係基於數個參數而非單一參數。在一實施例中,因為可藉由感測器蒐集大量的資料以及/或是高精細的資料,所以快速處理運算模組可用以處理資料處理與分析。為了提升處理時間,資料可直接從感測器發送至快速處理運算模組,而不需先經過製造廠主控制器或甚至是製程模組控制器。由黃(Huang)等人於2009年9月8日提出申請案第12/555,674號便描述適於處理資料的快速處理運算模組。
然而,不是所有蒐集的資料皆與鑑定零件的程序有關。為了僅辨識與零件損耗相關的參數,可套用過濾評斷準則。在一實施例中,過濾評斷準則可包括與濕清洗週期無關的參數。在另一實施例中,過濾評斷準則亦可包括排除與腔室相關的資料。舉例而言,當使用VI探針以在濕清洗中監控特定的27MHz電漿,即使從濕清洗的內部嚴密追蹤配件損耗,若干大過100MHz的諧波仍 常會漂移。
一旦已辨識與零件損耗相關的有關參數,就可建構一個以上的模型。在一實施例中,處理資料可用以建構統計模型、電氣模型以及/或是電漿模型。舉例而言,可使用相同的資料來為多個腔室配件建構損耗資訊。藉由更換配件或使用僅有特定配件受每個電漿監控之複合多步驟電漿製程,可達成線性降低配件損耗。
已建構每個模型之後,系統會檢查以判定模型中的雜訊等級是否為可接受(步驟514)。舉例而言,雜訊可從實體測量以及/或是感測器內含的雜訊而來。
在一實例中,雜訊可因零件對零件的差異而存在。換句話說,零件特性會由於零件的尺寸、零件的材料組成、零件的配置等等而不同。在一實例中,處理腔室A與處理腔室B內部的邊緣環可能看起來相同。然而,在處理腔室A中的邊緣環尺寸可能略大於處理腔室B中的邊緣環尺寸。因為可辨識零件對零件的差異,所以可於模型中考量零件對零件的差異。在一實施例中定義可接受的門檻範圍。若是零件對零件的差異在該門檻範圍之外,系統就必須取得額外資料以建構模型。
雜訊的另一來源係可由於零件的安裝。在一實例中,邊緣環之置放會造成模型中的雜訊。舉例而言,邊緣環與靜電夾頭之間可能存有間隙。然而,若是在處理腔室中的間隙不同,則處理腔室的電特性就會不同。因此,可建立門檻範圍。若是該差異在門檻範圍之外,就需要取得額外資料以建構模型。
在下一步驟516完成多變量模型之建構。
可執行步驟502-516以建構單一零件之一個以上的多變量預測模型。當然,相同資料檔可用以建構其他零件的多變量預測模型。
如由圖5可知,所述方法提供多變量預測模型之建構,其可考量不同環境狀況下的零件狀況,例如在濕清洗週期期間、在多個濕清洗週期之間、以及在不同處理腔室中。藉由在不同狀況中蒐集資料,可消除非零件損耗相關資料。因此,由預測模型,使 用者能最有效使用零件、減少晶圓報廢、以及預測將至的更換事件並為將至的修理作準備,因而降低持有成本。
如由前述可知,方法係提供以在處理腔室中執行零件的健康檢查。藉由使用一個以上的多變量預測模型,提供較準確的零件分析。換句話說,藉由使用多個感測器的資料,腔室健康指標測試可用以估計以及/或是預測零件的剩餘有效壽命時間,而不需外部的度量方法。隨著更精細而更不具侵入性的腔室健康指標檢查,提供辨識零件狀態之更具成本效益的方法。因此,隨著更少的資源以及/或是零件被浪費以及/或是損害,可降低持有成本。
雖然已用數個較佳實施例描述本發明,仍有落在本發明範疇中的修改、變更、以及均等物。雖然此處提供數個實例,此實例意圖說明而非限制本發明。
並且,此處提供的標題與總結係為了方便而不當用以建構此處申請專利範圍之範疇。此外,摘要係以高度簡略的形式撰寫且係為了方便而提供於此,因此不當被用以建構或限制整體發明(在申請專利範圍中陳述)。若是此處使用詞彙「組」,該詞彙係意圖具有一般理解的數學意義,涵蓋零、一、或多於一個的構件。應當注意有許多施行本發明的方法與設備之其他方式。因此下列隨附申請專利範圍之意圖係應解釋為包括所有修改、變更以及均等物,只要其落在本發明的真實精神與範疇中。
102-116‧‧‧步驟
202-228‧‧‧步驟
302-318‧‧‧步驟
402-426‧‧‧步驟
502-516‧‧‧步驟
本發明係藉由隨附圖式的圖中實例(而非限制)說明,且其中相似的參考數字意指類似的元件,其中:圖1呈現(在本發明的一實施例中)一簡單流程圖,套用鑑定零件用之單一多變量預測模型。
圖2呈現(在一實施例中)一簡單流程圖,描繪套用多個預測模型以判定零件的健康狀況之方法。
圖3呈現(在本發明的一實施例中)一簡單流程圖,描繪套用多變量預測模型以及非電漿測試(NPT)之方法。
圖4呈現(在本發明的一實施例中)一簡單流程圖,描繪使用多變量預測模型與由非電漿測試而來的資料鑑定零件之方法。
圖5呈現(在本發明的一實施例中)一簡單流程圖,描繪為建構鑑定零件用的多變量預測模型之方法。
102-116...步驟

Claims (18)

  1. 一種評估處理腔室的健康狀態之方法,包含:實施一配方;在實施該配方期間,接收由一組感測器而來的處理資料;使用一組多變量預測模型來分析該處理資料;產生一組零件損耗資料值;比較該組零件損耗資料值與一組有效壽命門檻範圍;以及若是該組零件損耗資料值在該組有效壽命門檻範圍之外,產生警告,其中該處理資料係使用該組多變量預測模型中的至少兩個不同之多變量預測模型來分析,以及其中分析該處理資料係使用第一多變量預測模型以產生第一組零件損耗資料值,以及使用第二多變量預測模型以產生第二組零件損耗資料值,若是該第一組零件損耗資料值與該第二組零件損耗資料值之間存在差異,套用該第二多變量預測模型在該第一組零件損耗資料值上,其中該第一組零件損耗資料值具有少於該第二組零件損耗資料值之雜訊。
  2. 如申請專利範圍第1項之評估處理腔室的健康狀態之方法,更包括從一資料庫拉入資料以支持該組多變量預測模型。
  3. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,其中該配方包括客戶特定配方、非客戶特定配方、以及無晶圓清洗自動清洗配方之其中一者。
  4. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,其中該組多變量預測模型包括電氣模型、統計模型、以及電漿模型之至少一者。
  5. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,其中該組多變量預測模型係配置以分析多於一個的消耗配件,其中 每個消耗配件與該組有效壽命門檻範圍中的一有效壽命門檻範圍相關。
  6. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,其中該組有效壽命門檻範圍為使用者可配置。
  7. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,更包括在一測量區間之後執行該處理腔室的健康狀態之評估,其中該測量區間係由預定時間期間與實施一非電漿測試之一者而定。
  8. 如申請專利範圍第2項之評估處理腔室的健康狀態之方法,更包括:分析該組零件損耗資料值以判定是否需要驗證,其中驗證發生於該組零件損耗資料值在一雜訊等級門檻範圍之外時;實施一非電漿測試以驗證該組零件損耗資料值;以及使該組零件損耗資料值與一組非電漿測試資料值相關,以產生一合併的零件損耗資料值組,其中該合併的零件損耗資料值組係與該組有效壽命門檻範圍比較,且若是該合併的零件損耗資料值組在該組有效壽命門檻範圍之外就產生該警告。
  9. 一種包含程式儲存媒體之製品,該程式儲存媒體具有電腦可讀編碼收錄於其中,該電腦可讀編碼係用以評估一處理腔室的健康狀態,包含:實施一配方之編碼;在實施該配方期間接收來自一組感測器的處理資料之編碼;使用一組多變量預測模型分析該處理資料之編碼;產生一組零件損耗資料值之編碼;比較該組零件損耗資料值與一組有效壽命門檻範圍之編碼;若是該組零件損耗資料值在該組有效壽命門檻範圍之外就產生一警告之編碼,其中分析該處理資料的該編碼包括使用該組多 變量預測模型中的至少兩個不同之多變量預測模型之編碼;從一資料庫拉入資料以支持該組多變量預測模型之編碼;分析該組零件損耗資料值以判定是否需要驗證之編碼,其中驗證發生於該組零件損耗資料值在一雜訊等級門檻範圍之外時;實施一非電漿測試以驗證該組零件損耗資料值之編碼;以及使該組零件損耗資料值與一組非電漿測試資料值相關以產生一合併的零件損耗資料值組之編碼,其中該合併的零件損耗資料值組係與該組有效壽命門檻範圍比較,且若是該合併的零件損耗資料值組在該組有效壽命門檻範圍之外則產生該警告。
  10. 如申請專利範圍第9項之包含程式儲存媒體之製品,更包括在一測量區間之後執行評估該處理腔室的健康狀況的編碼,其中該測量區間係由一預定時間期間與實施一非電漿測試之一者而定。
  11. 如申請專利範圍第9項之包含程式儲存媒體之製品,其中該配方包括客戶特定配方、非客戶特定配方、以及無晶圓清洗自動清洗配方之其中一者。
  12. 如申請專利範圍第9項之包含程式儲存媒體之製品,其中分析該處理資料的該編碼包括使用該組多變量預測模型中的第一多變量預測模型之編碼。
  13. 如申請專利範圍第9項之包含程式儲存媒體之製品,其中該組多變量預測模型包括電氣模型、統計模型、以及電漿模型之至少一者。
  14. 一種包含程式儲存媒體之製品,該程式儲存媒體具有電腦可讀編碼收錄於其中,該電腦可讀編碼係用以評估一處理腔室的健康狀態,包含:實施一配方之編碼; 在實施該配方期間接收來自一組感測器的處理資料之編碼;使用一組多變量預測模型分析該處理資料之編碼;產生一組零件損耗資料值之編碼;比較該組零件損耗資料值與一組有效壽命門檻範圍之編碼;若是該組零件損耗資料值在該組有效壽命門檻範圍之外就產生一警告之編碼,其中分析該處理資料的該編碼包括使用該組多變量預測模型中的至少兩個不同之多變量預測模型之編碼,其中分析該處理資料的該編碼包括使用第一多變量預測模型來產生第一組零件損耗資料值之編碼,以及使用第二多變量預測模型來產生第二組零件損耗資料值之編碼,若是該第一組零件損耗資料值與該第二組零件損耗資料值之間存在差異,套用該第二多變量預測模型在該第一組零件損耗資料值上之編碼,其中該第二多變量預測模型具有少於該第一多變量預測模型之雜訊。
  15. 一種評估處理腔室的健康狀態之方法,包含:實施一配方;在實施該配方期間,接收由一組感測器而來的處理資料;使用一組多變量預測模型來分析該處理資料;產生一組零件損耗資料值;比較該組零件損耗資料值與一組有效壽命門檻範圍;若是該組零件損耗資料值在該組有效壽命門檻範圍之外,產生警告,其中該處理資料係使用該組多變量預測模型中的至少兩個不同之多變量預測模型來分析;從一資料庫拉入資料以支持該組多變量預測模型;分析該組零件損耗資料值以判定是否需要驗證,其中驗證發生於該組零件損耗資料值在一雜訊等級門檻範圍之外時;實施一非電漿測試以驗證該組零件損耗資料值;以及使該組零件損耗資料值與一組非電漿測試資料值相關,以產生一合併的零件損耗資料值組,其中該合併的零件損耗資料值組係與該組有效壽命門檻範圍比較,且若是該合併的零件損耗資料 值組在該組有效壽命門檻範圍之外則產生該警告。
  16. 如申請專利範圍第15項之評估處理腔室的健康狀態之方法,其中該配方包括客戶特定配方、非客戶特定配方、以及無晶圓清洗自動清洗配方之其中一者。
  17. 如申請專利範圍第15項之評估處理腔室的健康狀態之方法,其中該組多變量預測模型包括電氣模型、統計模型、以及電漿模型之至少一者。
  18. 如申請專利範圍第15項之評估處理腔室的健康狀態之方法,其中該組多變量預測模型係配置以分析多於一個的消耗配件,其中每個消耗配件與該組有效壽命門檻範圍中的一有效壽命門檻範圍相關。
TW099121519A 2009-06-30 2010-06-30 處理腔室的預測預防維護用之方法與設備 TWI536193B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22202409P 2009-06-30 2009-06-30
US22210209P 2009-06-30 2009-06-30

Publications (2)

Publication Number Publication Date
TW201108022A TW201108022A (en) 2011-03-01
TWI536193B true TWI536193B (zh) 2016-06-01

Family

ID=43411705

Family Applications (5)

Application Number Title Priority Date Filing Date
TW099121519A TWI536193B (zh) 2009-06-30 2010-06-30 處理腔室的預測預防維護用之方法與設備
TW099121511A TWI480917B (zh) 2009-06-30 2010-06-30 最佳終點演算法的建構方法
TW099121516A TWI509375B (zh) 2009-06-30 2010-06-30 在配方執行期間實現自動線上製程控制方案之方法與設備
TW099121515A TWI484435B (zh) 2009-06-30 2010-06-30 預測蝕刻率均勻性以驗證電漿腔室的方法與設備
TW099121513A TWI495970B (zh) 2009-06-30 2010-06-30 原位快速暫態事件之偵測方法及裝置

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW099121511A TWI480917B (zh) 2009-06-30 2010-06-30 最佳終點演算法的建構方法
TW099121516A TWI509375B (zh) 2009-06-30 2010-06-30 在配方執行期間實現自動線上製程控制方案之方法與設備
TW099121515A TWI484435B (zh) 2009-06-30 2010-06-30 預測蝕刻率均勻性以驗證電漿腔室的方法與設備
TW099121513A TWI495970B (zh) 2009-06-30 2010-06-30 原位快速暫態事件之偵測方法及裝置

Country Status (6)

Country Link
JP (5) JP5599882B2 (zh)
KR (5) KR101708078B1 (zh)
CN (5) CN102804929B (zh)
SG (5) SG176565A1 (zh)
TW (5) TWI536193B (zh)
WO (5) WO2011002804A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332383B (zh) * 2011-09-23 2014-12-10 中微半导体设备(上海)有限公司 等离子体刻蚀工艺的终点监控方法
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
KR102339317B1 (ko) * 2013-12-13 2021-12-14 램 리써치 코포레이션 Rf 임피던스 모델 기반 폴트 검출
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN108847381A (zh) * 2018-05-25 2018-11-20 深圳市华星光电半导体显示技术有限公司 测试基板及延长测试基板使用寿命的方法
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
DE102019209110A1 (de) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie
WO2023286142A1 (ja) * 2021-07-13 2023-01-19 株式会社日立ハイテク 診断装置及び診断方法並びにプラズマ処理装置及び半導体装置製造システム
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230260767A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Process control knob estimation

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272872A (en) * 1992-11-25 1993-12-28 Ford Motor Company Method and apparatus of on-board catalytic converter efficiency monitoring
JP3301238B2 (ja) * 1994-10-25 2002-07-15 三菱電機株式会社 エッチング方法
JPH08148474A (ja) * 1994-11-16 1996-06-07 Sony Corp ドライエッチングの終点検出方法および装置
JPH09306894A (ja) * 1996-05-17 1997-11-28 Sony Corp 最適発光スペクトル自動検出システム
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
JP3630931B2 (ja) * 1996-08-29 2005-03-23 富士通株式会社 プラズマ処理装置、プロセスモニタ方法及び半導体装置の製造方法
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
KR100610413B1 (ko) * 1997-09-17 2006-08-09 동경 엘렉트론 주식회사 Rf 플라즈마 시스템에서 아크를 검출하고 방지하기 위한디바이스 및 방법
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2001338856A (ja) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd 半導体製造システムのプロセスコントローラ
JP4554037B2 (ja) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 消耗品の消耗度予測方法及び堆積膜厚の予測方法
US6567718B1 (en) * 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
JP2003151955A (ja) * 2001-11-19 2003-05-23 Nec Kansai Ltd プラズマエッチング方法
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6825050B2 (en) * 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US20040031052A1 (en) 2002-08-12 2004-02-12 Liberate Technologies Information platform
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
TWI233008B (en) * 2002-09-30 2005-05-21 Tokyo Electron Ltd Method and apparatus for the monitoring and control of a semiconductor manufacturing process
AU2003286462B2 (en) * 2002-10-25 2008-10-23 S & C Electric Co. Method and apparatus for control of an electric power system in response to circuit abnormalities
JP4365109B2 (ja) * 2003-01-29 2009-11-18 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
JP2004295348A (ja) * 2003-03-26 2004-10-21 Mori Seiki Co Ltd 工作機械の保守管理システム
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
JP2007501532A (ja) * 2003-05-09 2007-01-25 ウナクシス ユーエスエイ、インコーポレイテッド 時分割多重プロセスにおける包絡線フォロア終点検出
JP2004335841A (ja) * 2003-05-09 2004-11-25 Tokyo Electron Ltd プラズマ処理装置の予測装置及び予測方法
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4043408B2 (ja) * 2003-06-16 2008-02-06 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
KR100567745B1 (ko) * 2003-09-25 2006-04-05 동부아남반도체 주식회사 스퍼터링용 타겟의 수명예측 장치 및 수명예측방법
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7930053B2 (en) * 2003-12-23 2011-04-19 Beacons Pharmaceuticals Pte Ltd Virtual platform to facilitate automated production
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
JP2006004992A (ja) * 2004-06-15 2006-01-05 Seiko Epson Corp 研磨装置管理システム、管理装置、管理装置制御プログラム及び管理装置制御方法
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4972277B2 (ja) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 基板処理装置の復帰方法、該装置の復帰プログラム、及び基板処理装置
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
JP4707421B2 (ja) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 処理装置,処理装置の消耗部品管理方法,処理システム,処理システムの消耗部品管理方法
JP2006328510A (ja) * 2005-05-30 2006-12-07 Ulvac Japan Ltd プラズマ処理方法及び装置
TWI338321B (en) * 2005-06-16 2011-03-01 Unaxis Usa Inc Process change detection through the use of evolutionary algorithms
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR20080006750A (ko) * 2006-07-13 2008-01-17 삼성전자주식회사 반도체소자 제조용 플라즈마 도핑 시스템
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
CN100587902C (zh) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
JP2008158769A (ja) * 2006-12-22 2008-07-10 Tokyo Electron Ltd 基板処理システム、制御装置、設定情報監視方法および設定情報監視プログラムを記憶した記憶媒体
US7548830B2 (en) * 2007-02-23 2009-06-16 General Electric Company System and method for equipment remaining life estimation
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008311338A (ja) * 2007-06-13 2008-12-25 Harada Sangyo Kk 真空処理装置及びこれに用いる異常放電予知装置、並びに、真空処理装置の制御方法
KR100892248B1 (ko) * 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US20090106290A1 (en) * 2007-10-17 2009-04-23 Rivard James P Method of analyzing manufacturing process data
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
CN102473590A (zh) 2012-05-23
KR101741274B1 (ko) 2017-05-29
WO2011002804A3 (en) 2011-03-03
WO2011002800A3 (en) 2011-04-07
CN102804929B (zh) 2015-11-25
SG176567A1 (en) 2012-01-30
CN102474968B (zh) 2015-09-02
CN102473631B (zh) 2014-11-26
TWI480917B (zh) 2015-04-11
SG176147A1 (en) 2011-12-29
WO2011002811A2 (en) 2011-01-06
JP2012532460A (ja) 2012-12-13
JP5624618B2 (ja) 2014-11-12
CN102474968A (zh) 2012-05-23
JP2012532462A (ja) 2012-12-13
KR20120047871A (ko) 2012-05-14
JP2012532463A (ja) 2012-12-13
JP5693573B2 (ja) 2015-04-01
KR101708078B1 (ko) 2017-02-17
KR20120037420A (ko) 2012-04-19
TWI484435B (zh) 2015-05-11
KR20120101293A (ko) 2012-09-13
KR101741271B1 (ko) 2017-05-29
KR20120037419A (ko) 2012-04-19
TWI495970B (zh) 2015-08-11
WO2011002810A2 (en) 2011-01-06
CN102473590B (zh) 2014-11-26
JP5599882B2 (ja) 2014-10-01
WO2011002810A4 (en) 2011-06-03
WO2011002804A2 (en) 2011-01-06
TWI509375B (zh) 2015-11-21
WO2011002810A3 (en) 2011-04-14
CN102804353B (zh) 2015-04-15
WO2011002811A3 (en) 2011-02-24
TW201129884A (en) 2011-09-01
KR101708077B1 (ko) 2017-02-17
TW201112302A (en) 2011-04-01
KR20120037421A (ko) 2012-04-19
JP5629770B2 (ja) 2014-11-26
CN102804353A (zh) 2012-11-28
TW201108022A (en) 2011-03-01
TW201129936A (en) 2011-09-01
SG176566A1 (en) 2012-01-30
WO2011002803A3 (en) 2011-03-03
JP2012532461A (ja) 2012-12-13
KR101741272B1 (ko) 2017-05-29
WO2011002800A2 (en) 2011-01-06
SG176564A1 (en) 2012-01-30
SG176565A1 (en) 2012-01-30
CN102473631A (zh) 2012-05-23
WO2011002803A2 (en) 2011-01-06
JP2012532464A (ja) 2012-12-13
CN102804929A (zh) 2012-11-28
TW201115288A (en) 2011-05-01

Similar Documents

Publication Publication Date Title
TWI536193B (zh) 處理腔室的預測預防維護用之方法與設備
US8473089B2 (en) Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
JP5102488B2 (ja) 製造装置における障害検出の方法
US9727049B2 (en) Qualitative fault detection and classification system for tool condition monitoring and associated methods
US7469195B2 (en) Integrated stepwise statistical process control in a plasma processing system
Bleakie et al. Feature extraction, condition monitoring, and fault modeling in semiconductor manufacturing systems
US20020104832A1 (en) Plasma processing apparatus and method
US20060180570A1 (en) Application of in-situ plasma measurements to performance and control of a plasma processing system
JP2011527508A (ja) プラズマ処理チャンバ内のプラズマ不安定性を検出するための受動型容量結合静電(cce)プローブ構成
JP2020061575A (ja) 高次元変数選択モデルを使用した重要なパラメータの決定システム
WO2014088670A1 (en) Method and apparatus for autonomous identification of particle contamination due to isolated process events and systematic trends
KR20180065003A (ko) 챔버 매칭 및 모니터링을 위한 방법 및 시스템
CN112585727B (zh) 装置诊断装置、等离子体处理装置以及装置诊断方法
JP2010286880A (ja) 不良要因推定システムおよび不良要因推定方法
US9721762B2 (en) Method and system managing execution of preventative maintenance operation in semiconductor manufacturing equipment
JPH10223499A (ja) 物品の製造方法、物品の製造システムおよび複数の加工処理装置の運用方法
Shamsuzzaman et al. Control chart system with independent quality characteristics
WO2023230517A1 (en) Performance management of semiconductor substrate tools
KR100679722B1 (ko) 반도체 양산라인의 예방정비 주기 설정방법
CN115032182A (zh) 一种用于半导体制程零配件的质变检测的数据处理方法和***
KR20070111744A (ko) 웨이브릿을 이용한 플라즈마장비의 센서정보 감시방법