TWI514449B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI514449B
TWI514449B TW102147881A TW102147881A TWI514449B TW I514449 B TWI514449 B TW I514449B TW 102147881 A TW102147881 A TW 102147881A TW 102147881 A TW102147881 A TW 102147881A TW I514449 B TWI514449 B TW I514449B
Authority
TW
Taiwan
Prior art keywords
layer
metal
dielectric material
metal components
semiconductor device
Prior art date
Application number
TW102147881A
Other languages
English (en)
Other versions
TW201436002A (zh
Inventor
Chao Hsien Peng
Tsung Min Huang
Hsiang Huan Lee
Shau Lin Shue
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201436002A publication Critical patent/TW201436002A/zh
Application granted granted Critical
Publication of TWI514449B publication Critical patent/TWI514449B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係有關於半導體裝置及其製造方法,特別係有關於一種具有內連線結構之半導體裝置及其製造方法。
在半導體裝置的製造中,金屬線被用來提供元件或導線之間的電性連結。近年來,由於半導體裝置需要更高的整合性與更佳的性能,具有極佳電性(如導電性)的銅即被用來作為形成金屬線的材料選擇。
銅金屬線不容易藉由蝕刻步驟來圖案化,例如不容易以用於形成鋁金屬線的消去蝕刻步驟來圖案化。因此銅金屬線係使用鑲嵌步驟來圖案化。在多層線路結構中,可同時形成連結上層/下層導線的導孔及上層金屬線的鑲嵌步驟已被廣泛地使用。
第1圖係習知技術中部分形成於半導體裝置上簡化的內連線結構的剖面圖。此圖中,圖案化介電層54形成於半導體基底50的上表面上。金屬線55形成於圖案化介電層54的開口之間。例如,金屬層56係銅且形成於金屬線55及圖案化介電層54上。在之後的步驟中,金屬層被蝕刻並形成金屬導孔於下方的金屬線55上。儘管未顯示於第1圖中,阻障金屬膜薄層可沉積並形成於金屬線55及/或金屬導孔的內壁上。阻障金屬膜 於金屬線及/或金屬導孔形成時可防止銅原子擴散進入介電層54。第1圖亦顯示圖案化光阻層58藉由典型的光微影步驟形成於金屬層56上。此光微影步驟可包括一系列的步驟,例如光阻塗佈、曝光及顯影。
傳統的銅金屬線形成方法有許多問題。其中一個 問題是失準(misalignment)。在裝置製造或圖案曝光的步驟中,多個層之間的對準是非常重要的。越小的裝置尺寸對於互疊的多個層間的對準準確度要求越高。於第2圖中,在圖案化光阻層58作為罩幕以蝕刻金屬層56並形成金屬導孔60後,導孔凹口(via recess,VR)可能會形成於金屬導孔60與其下層金屬線的接合處。當與下層金屬線接觸的金屬導孔60相對於下層金屬線發生失準(misalignment)時(例如向某一側偏移),會造成導孔凹口。例如,此失準可由微影步驟中的罩幕失準造成。由於傳統銅蝕刻方法中因失準而造成的導孔凹口會造成不穩定的良率並降低裝置可靠度,故此凹口係一個急待解決的問題。
一種半導體裝置之製造方法包括:形成介電材料層於半導體基底上;圖案化介電材料層以形成多個介層窗於其中;形成第一金屬層於介電材料層上,其中第一金屬層填入多個介層窗;平坦化第一金屬層使其頂面與介電材料之頂面共平面,並形成多個第一金屬元件;及形成不連續的停止層於各個第一金屬元件的頂部上,停止層覆蓋且實質上對齊各個第一金屬元件,且實質上不延伸至多個第一金屬元件之間的介電材料 層上。
一種半導體裝置之製造方法包括:形成多個金屬 導孔於介電材料層中,介電材料層位於半導體基底上;形成停止層於各個金屬導孔的頂部上,停止層使其下之金屬導孔於後續蝕刻步驟中不被蝕刻;形成含銅層於停止層及介電材料層上;形成圖案化硬罩幕層於含銅層上,其中圖案化硬罩幕層具有多個開口;及蝕刻含銅層以形成多個銅線,其中蝕刻停止於停止層且不蝕刻位於下方的金屬導孔。
一種半導體裝置包括:介電材料層,設於半導體 基底上;多個第一金屬元件,嵌入介電材料層中;阻障層,***於介電材料層與各個第一金屬元件的底部與側壁之間;及停止層,形成於各個第一金屬元件的頂部上,停止層使其下之第一金屬元件於後續蝕刻步驟中不被蝕刻。
50‧‧‧半導體基底
54‧‧‧圖案化介電層
55‧‧‧金屬線
56‧‧‧金屬層
58‧‧‧圖案化光阻層
60‧‧‧金屬導孔
100‧‧‧半導體結構
110‧‧‧半導體基底
120‧‧‧導電元件
130‧‧‧阻障層
140‧‧‧介電材料層
150‧‧‧第一圖案化光阻層
160‧‧‧第一開口
170‧‧‧介層窗
180‧‧‧第二阻障層
190‧‧‧第一金屬層
200‧‧‧第一金屬元件
210‧‧‧停止層
220‧‧‧第二金屬層
230‧‧‧第二圖案化光阻層
240‧‧‧第二開口
250‧‧‧第二金屬元件
第1-2圖係習知技術中部分半導體裝置的內連線結構的剖面圖;第3圖係根據本揭露各實施例所繪之半導體裝置的內連線結構的製造方法的流程圖;第4-10圖係根據本發明之各實施例所繪之部分半導體裝置的內連線結構於各製程階段的剖面圖。
應了解的是,以下之敘述提供許多不同的實施例或例子,用以實施本發明之不同樣態。以下所述特定的元件及 排列方式儘為簡單描述本發明。當然,這些僅用以舉例而非本發明之限定。此外,在不同實施例中可能使用重複的標號或標示。這些重複僅為了簡單清楚地敘述本發明,不代表所討論之不同實施例及/或結構之間具有任何關連性。再者,當述及一第一材料層位於一第二材料層上或之上時,包括第一材料層與第二材料層直接接觸之情形。或者,亦可能間隔有一或更多其它材料層之情形,在此情形中,第一材料層與第二材料層之間可能不直接接觸。
第3圖係根據本揭露各實施例所繪之半導體裝置 的內連線結構的製造方法2的流程圖。參見第3圖,方法2包括步驟4,其中介電材料層形成於半導體基底上。方法2包括步驟6,其中介電材料層被圖案化以形成多個介層窗於其中。方法2包括步驟8,其中金屬層形成於介電材料層上,其中金屬層填入多個介層窗中。方法2包括步驟10,其中金屬層被磨平使其頂面與介電材料之頂面共平面,並形成多個金屬導孔。方法2包括步驟12,其中停止層形成於各金屬導孔的頂部上,其中此停止層防止位於下方的金屬導孔在後續的蝕刻步驟中被蝕刻。
應瞭解的是,可於第3圖的步驟4-12之前、之中或 之後加入額外的步驟以完成內連線結構的製造。但為了簡潔,此額外的步驟將不於此詳細描述。
第4-10圖係根據本第3圖方法2之各實施例所繪之 部分半導體裝置的內連線結構於各製程階段的剖面圖。應了解的是,為了更佳的了解本揭露之發明精神,第2-6及8-10圖係為簡化之圖式。應了解的是,此處描述之材料、形狀、尺寸、結 構及製程參數僅為說明之用,其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾。
參見第4圖,其提供位於基底110上的半導體結構 100。半導體基底110包括矽。此外,半導體基底110可包括其它元素半導體例如鍺。半導體基底110亦可包括化合物半導體例如碳化矽、砷化鎵、砷化銦及磷化銦。半導體基底110亦可包括合金半導體,例如SiGe、SiGeC、GaAsP、GaInP。在一實施例中,半導體基底110包括磊晶層。例如,此基底可包括覆蓋於主體半導體上的磊晶層。此外,半導體基底110可包括絕緣層上覆矽(SOI)結構。例如,基底可包括埋藏氧化物(BOX)層,此埋藏氧化物(BOX)層可藉由例如植氧分離(SIMOX)或其它適合的技術的步驟形成,其它適合的步驟例如為晶圓結合及研磨。半導體基底110亦包括P型摻雜區及/或N型摻雜區,由例如離子佈植及/或擴散的步驟佈植。此摻雜區包括N井、P井、輕摻雜區(LDD)、重摻雜源極及汲極(S/D)及各種通道摻雜輪廓,將上述摻雜區作配置可形成各種積體電路裝置,例如互補金氧半場效電晶體(CMOSFET)、影像感測器及/或發光二極體。半導體基底110更包括功能元件,例如形成於基底上或基底中的電阻或電容。半導體基底110更包括橫向隔離元件,其可隔離形成於半導體基底110中的個裝置。在一實施例中,淺溝槽隔離(STI)元件係作為橫向隔離元件。各個積體電路裝置更包括其它元件,例如設於源極及汲極上的金屬矽化物及覆蓋於通道上的閘極堆疊。
半導體結構100亦可包括多個介電層及導電元 件,其可整合形成內連線結構並連結各P型或N型摻雜區及其它功能元件(例如閘極),得到功能性積體電路。在一實施例中,半導體結構100可包括部分內連線結構且兩者合稱為基底110。此半導體結構將於後更進一步描述。
如上所述,半導體結構100包括內連線結構。此內 連線結構包括多層內連線結構及與多層內連線結構整合之層間介電層,並提供電路以將基底110中的各裝置連結至輸入/輸出電源及訊號。此內連線結構包括各種金屬線、接點及導孔元件(或導孔栓塞)。金屬線提供水平電路。接點提供矽基底與金屬線之間的垂直連結,而導孔元件提供不同金屬層的金屬線間的垂直連結。
第4圖顯示作為範例之導電元件120。在一實施例 中,導電元件120包括部分內連線結構。例如,導電元件120包括接點、金屬導孔或金屬線。在此實施例中,導電元件120可更進一步被阻障層130圍繞以防止擴散及/或提供材料附著力。導電元件120可包括Al、Cu、W。阻障層130可包括TiN、TaN、WN、TiSiN、TaSiN。導電元件120及阻障層130可藉由包括微影製程、蝕刻及沉積的步驟形成。在另一實施例中,導電元件120包括電容、電阻或部分電阻的電極。或者,導電元件120包括摻雜區(例如源極或汲極)或閘極。在另一實施例中,導電元件120為設於源極、汲極或閘極上的金屬矽化物元件。 此金屬矽化物元件可由自對準金屬矽化物(salicide)技術形成。
第4圖亦顯示介電材料層140,設於基底110及導電 元件120上。介電材料層140包括例如氧化矽、氮化矽的介電材料層、具有介電常數(k)低於熱氧化矽之介電材料層(因此稱為低介電常數介電材料層)或其它適合的介電材料層。在某些實施例中,低介電常數介電材料可包括氟摻雜矽玻璃、碳摻雜二氧化矽、黑鑽石®(Applied Materials of Santa Clara,California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳、聚對二甲苯(Parylene)、二苯並環丁烯(BCB,bis-benzocyclobutenes)、SiLK(Dow Chemical,Midland,Michigan)、聚醯亞胺及/或其它材料。在另一實施例中,低介電常數介電材料可包括超低介電常數介電材料(XLK)。在另一實施例中,低介電常數介電材料包括已知的多孔型態的Dow Corning介電材料,其以氫化矽倍半氧烷(hydrogen silsesquioxane)為主且稱為可流動氧化物(FOX;flowable oxide)。形成介電材料層140的方法可為旋轉塗佈或化學氣相沉積。在一實施例中,化學機械研磨步驟、回蝕刻步驟及其它相似步驟可更進一步將介電材料層140的頂面磨平。
第4圖亦顯示形成於介電材料層140上的第一圖案 化光阻層150。第一圖案化光阻層150包括各種第一開口160,其定義介電材料層140中形成介層窗的部份,並露出這些部分使其可於後續蝕刻步驟中被蝕刻。特別地,各第一開口160與各導電元件120對準。在一實施例中,第一圖案化光阻層150可由包括塗佈、曝光、曝光後烘烤及顯影的步驟形成。特別地,光阻塗佈可使用旋轉塗佈。在一曝光之實施例中,塗佈的光阻層由通過具有預定圖案的罩幕的輻射光束選擇性地曝光。在一 實施例中,此輻射光束包括UV光。曝光步驟更包括其它技術例如無罩幕曝光或寫入步驟。在曝光步驟後,第一圖案化光阻層150藉由熱烘烤步驟更進一步處理,此步驟稱為曝光後烘烤(PEB)。曝光後烘烤(PEB)包括在光阻層曝光部分的化學性質轉變的梯瀑反應(cascade),光阻層的曝光部分轉變並使其在顯影劑中的溶解度增加。接著,基底上的光阻層被顯影。在顯影步驟中,光阻層的曝光部分被溶解並沖洗移除。因此,如第4圖所示,光阻層被圖案化並具有一或多個第一開口160。上述微影步驟僅為圖案化微影技術的製程步驟的子集合。此微影步驟更包括其它步驟,例如於適當的製程程序中清洗或烘烤。 例如,已顯影的光阻層可更進一步烘烤,亦即硬烘烤。
如第5圖所示,藉由將第一圖案化光阻層150作為 蝕刻罩幕,介電材料層140可經由第一圖案化光阻層150的第一開口160蝕刻,並得到一或多個介層窗170於介電材料層140中,其中各導電元件120至少部分露出於介層窗170中。在第一圖案化光阻層150的第一開口160中露出的介電材料層140可藉由蝕刻步驟移除,此蝕刻步驟例如為乾蝕刻、濕蝕刻或上述之組合。在一實施例中,蝕刻步驟使用中密度電漿蝕刻系統,其使用電容耦合電漿;或高密度電漿蝕刻系統,其使用感應式電漿、螺旋電漿或電子迴旋共振電漿,其中露出的介電材料係藉由氟碳電漿非等向性地移除,並形成介層窗170,如第5圖所示。此蝕刻步驟亦可使用其它乾蝕刻步驟。各乾蝕刻步驟的蝕刻機制可具有物理機制(亦即輝光放電濺鍍或離子研磨)或化學機制(亦即純電漿蝕刻)或上述兩者之組合(亦即反應性離 子蝕刻)。濺鍍利用其入射能量離子本身具有的方向性來達到非等向性蝕刻。純電漿蝕刻(一種以化學機制為主的蝕刻)可達到相對於罩幕材料及位於下方的膜層高的選擇性且其通常為等向性蝕刻。藉由結合物理及化學機制的蝕刻,可控制蝕刻的非等向性並使其具有適當的選擇性。接著,第一圖案化光阻層150可藉由如濕式剝除或氧電漿灰化的步驟移除。在另一實施例中,蝕刻停止層設於基底110與介電材料層140之間,蝕刻步驟包括乾蝕刻以蝕刻介電材料層140並停止於蝕刻停止層。 蝕刻步驟更包括濕蝕刻以移除介層窗170中的蝕刻停止層。在另一實施例中,硬罩幕可在蝕刻步驟中作為蝕刻罩幕以圖案化介電材料層140。
參見第6圖,第二阻障層180形成於介層窗170中, 亦同時形成於介電材料層140之頂部上。在一實施例中,第二阻障層180包括金屬且具有導電性,但不容許介電材料層140與填入介層窗170的金屬層之間的擴散與反應。第二阻障層180可包括耐火金屬及其氮化物。在某些實施例中,第二阻障層180包括TiN、TaN、Co、WN、TiSiN、TaSiN或上述之組合。第二阻障層180包括多層結構。例如,Ti及TiN層可作為第二阻障層。第二阻障層180可藉由物理氣相沉積、化學氣相沉積、有機金屬化學氣相沉積、原子層沉積或其它適合的技術沉積。
參見第6圖,第一金屬層190形成於第二阻障層180 上。第一金屬層190填入介層窗170並更進一步形成於介電材料層140上。第一金屬層190可包括Cu、Al、W或其它適合的導電材料。在一具有增進的蝕刻效果的實施例中,金屬層包括銅或 銅合金,例如CuMg、CuAl、CuSi、CuMn、CuTi、CuW、CuTa、CuZr或CuMo。更進一步在本實施例中,CuMn中Mn的重量濃度為約0.5%至約2%。在一實施例中,第一金屬層190包括由物理氣相沉積步驟沉積的銅層。在另一實施例中,第一金屬層190包括由物理氣相沉積步驟沉積的銅晶種層及由化學電鍍形成的主體銅層。在另一實施例中,銅可藉由其它適合技術沉積,例如物理氣相沉積、化學氣相沉積、有機金屬化學氣相沉積或電鍍。可加入銅回焊步驟以增進銅填充輪廓。
如第7圖所示,在一實施例中,藉由化學機械研磨 或回蝕刻步驟,第一金屬層190被磨平使其頂面與介電材料140之頂面實質上共平面,並形成多個第一金屬元件200於介層窗170中。在一實施例中,當位於下方的導電元件120為金屬線時,第一金屬元件200亦稱為金屬導孔、導孔元件或導孔,其提供金屬線之間的垂直電路。在另一實施例中,當位於下方的導電元件120為源極/汲極元件及/或閘極時,介層窗170中的第一金屬元件200亦稱為金屬接點、接點元件或接點,其提供金屬線與半導體基底110之間的電路。
在本揭露中,如第8圖所示,停止層210形成於第 一金屬元件200、介電材料層140及/或第二阻障層180上。在一實施例中,停止層210包括金屬且具有導電性。在某些實施例中,停止層210包括耐火金屬及其氮化物。在某些實施例中,停止層210包括Ta、TaN、TaSiN、W、WN、Ru、Co、CoW、CoWP、Ti、TiN、TiSiN、C或上述之組合。停止層210包括多層結構。停止層210可藉由物理氣相沉積、化學氣相沉積、有 機金屬化學氣相沉積、原子層沉積或其它適合的技術沉積。在一實施例中,停止層210具有約10埃至約100埃的厚度。
在之後的步驟中,停止層210被圖案化及蝕刻並形 成停止層210於各第一金屬元件200的頂部上。在之後形成金屬元件的蝕刻步驟中,停止層210防止位於下方的第一金屬元件200被蝕刻並防止凹口形成於其中。圖案化停止層210的方法包括如本技術領域中具有通常知識者所知的微影及蝕刻步驟。於微影步驟中,圖案化光阻層形成於停止層210上並作為具有多個開口的罩幕,此開口露出停止層210要被移除的區域。形成圖案化光阻層的微影技術與前述形成第一圖案化光阻層150的技術相似。
在本揭露另一實施例中,在形成停止層210之前, 自組裝單層(self assembly monolayer,SAM)沉積於各第一金屬元件200的頂部上。自組裝單層係一短碳鏈結構。在一實施例中,自組裝單層結構具有2至10個碳單元且在兩端具有不同的官能基。例如,一端具有Si-OH官能基,其可與導電金屬結合,此導電金屬例如為第一金屬元件200中的銅。而另一端具有-NH2 官能基,其可與元素結合,此元素例如為停止層210中的Ta、W、Co、Ti。自組裝單層可藉由溶液的旋轉塗佈沉積。 例如,此溶液可為含有自組裝單層單體的己烷溶液。在一實施例中,自組裝單層具有約5埃至約20埃的厚度。
參見第8圖,在另一實施例中,停止層210係藉由 銅合金離析至表面的方式形成。對半導體裝置100作退火步驟,可作為良好的蝕刻停止層的金屬及金屬氧化物(如果有氧 原子存在)將離析至第一金屬元件200的表面。根據一實施例,當對半導體裝置100進行溫度為約100℃至約400℃、例如為N2 、N2 /H2 、N2 /O2 、Ar的氣體壓力為約1Torr至約760Torr的熱退火時,金屬元素會離析至金屬元件200的無銅表面,此金屬元素例如為Mn、Al、Mg、Ta、Zr、Ti、W或Mo。
參見第9圖,第二金屬層220形成於介電材料層140 及阻障層210上。第二金屬層220可包括Cu、Al、W或其它適合的導電材料。在一具有增進的蝕刻效果的實施例中,金屬層包括銅或銅合金,例如CuMg、CuAl、CuSi、CuMn、CuTi、CuW、CuTa、CuZr或CuMo。更進一步在本實施例中,CuMn中Mn的重量濃度為約0.5%至約2%。在一實施例中,第二金屬層220包括由物理氣相沉積步驟沉積的銅層。在另一實施例中,第二金屬層220包括由物理氣相沉積步驟沉積的銅晶種層及由化學電鍍形成的主體銅層。在另一實施例中,銅可藉由其它適合技術沉積,例如物理氣相沉積、化學氣相沉積、有機金屬化學氣相沉積或電鍍。可加入銅回焊步驟以增進銅填充輪廓。
具有第二開口240的第二圖案化光阻層230形成於 第二金屬層220上。形成第二圖案化光阻層230的微影技術與形成第一圖案化光阻層150的方法相似,因此在此將不再次敘述此方法。第二圖案化光阻層230形成於第二金屬層220上且作為具有第二開口240的蝕刻罩幕,此第二開口240露出第二金屬層220要被移除的區域。
在一實施例中,金屬蝕刻步驟使用電漿蝕刻。由 於銅會形成具有氯的蝕刻產物-CuCl,且其在低於特定溫度時 為非揮發性,因此通常認為銅難以使用電漿蝕刻步驟蝕刻。因此銅不可使用具有任何含有氯的蝕刻氣體蝕刻。因此,傳統用於形成鋁金屬線的消去蝕刻方法無法用於銅的蝕刻。克服非揮發性的銅化合物並使用適合的氣體組合是目前銅電漿蝕刻發展上面臨的挑戰。在一實施例中,蝕刻氣體包括碳、氫、氧及氮。在另一實施例中,使用於銅電漿蝕刻的銅蝕刻氣體包括含有氫的氣體,例如Cx Hy 、Cx Fy 、Cx Hy Fz 或上述之組合,其中下標X、Y或Z為大於0且小於6的值。為了簡潔的目的,下標X、Y或Z將於之後的敘述中省略。在本實施例中,使用於銅電漿蝕刻的銅蝕刻氣體更包括至少CO或O2 其中之一,且至少N2 或Ar其中之一。在一實施例中,含氫氣體的氣體流量為約1sccm至約100sccm,CO(或O2 )的氣體流量為約1sccm至約500sccm,N2 (或Ar)的氣體流量為約1sccm至約1000sccm。更進一步在本實施例中,電漿蝕刻溫度(基板溫度)為約20℃至約250℃。在另一實施例中,電漿蝕刻溫度為約20℃至約80℃。 本發明之實驗指出,CuMn合金具有較高之蝕刻速率。某些實驗更顯示CuMn合金之蝕刻速率為不含有Mg的銅金屬蝕刻速率的1.5至3倍。
除了上述之圖案化第二金屬層220的方法外,亦可 使用其它實施例之方法。在一實施例中,可額外使用濕蝕刻或使用濕蝕刻替代乾蝕刻以圖案化第二金屬層220。在另一實施例中,當第二金屬層220包括其它適合之金屬(例如Al、W)時,可以使用其它蝕刻氣體。在另一實施例中,可藉由包括微影及蝕刻的步驟形成可作為蝕刻罩幕的硬罩幕,此硬罩幕例如 為氧化矽、碳化矽、氮化矽、氮化鈦或氮化鉭。
第二金屬層220被蝕刻使位於介電材料層140上的 部分第二金屬層220被圖案化並形成多個第二金屬元件250。在一實施例中,第二金屬元件250可為金屬線、金屬導孔或金屬元件並提供金屬線之間的垂直電路。在一實施例中,每一個第二金屬元件250與每一個第一金屬元件實質上對準。蝕刻停止於停止層210且不會蝕刻位於下方的第一金屬元件200,因此可避免形成不希望出現的凹口。於第10圖所示之實施例中,第二金屬元件250與位於下方的第一金屬元件200接觸但相對於第一金屬元件200有失準偏移(例如向其中一側偏移),停止層210防止第一金屬元件200被蝕刻,因此可得到無凹口的半導體結構100。
雖然本發明的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。此外,本發明之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本發明揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本發明使用。因此,本發明之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本發明之保護範圍也包括各個申請專利範圍及實施例的組合。
100‧‧‧半導體結構
110‧‧‧半導體基底
120‧‧‧導電元件
130‧‧‧阻障層
140‧‧‧介電材料層
180‧‧‧第二阻障層
200‧‧‧第一金屬元件
250‧‧‧第二金屬元件

Claims (10)

  1. 一種半導體裝置之製造方法,包括:形成一介電材料層於一半導體基底上;圖案化該介電材料層以形成多個介層窗於其中;形成一第一金屬層於該介電材料層上,其中該第一金屬層填入該多個介層窗;平坦化該第一金屬層使其頂面與該介電材料之頂面共平面,並形成多個第一金屬元件;及將該多個第一金屬元件退火以形成一不連續的停止層於各個該第一金屬元件的頂部上,該停止層覆蓋且實質上對齊各個該第一金屬元件,且實質上不延伸至該多個第一金屬元件之間的該介電材料層上,其中該多個第一金屬元件包括一第一導電材料及一第二導電材料,該第二導電材料的重量濃度小於該第一導電材料,且其中將該多個第一金屬元件退火的步驟包括將該第二導電材料離析至各個該第一金屬元件的頂部,以形成該不連續的停止層。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中形成該第一金屬層包括形成一擇自由Cu、CuMg、CuAl、CuMn、CuTi、CuSi、CuW、CuTa、CuZr、CuMo及前述之組合所組成之族群的含銅材料。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一金屬元件包括一金屬導孔。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中形成該停止層包括形成一擇自由Ta、TaN、TaSiN、W、 WN、Ru、Co、CoW、CoWP、Ti、TiN、TiSiN、C及前述之組合所組成之族群的材料。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括:在形成該第一金屬層之前形成一阻障層於該多個介層窗中及該介電材料層上;在形成該停止層之前沉積一自組裝單層(SAM)於各個該第一金屬元件的頂部上;形成一第二金屬層於該介電材料層及該停止層上;及蝕刻該第二金屬層使位於該介電材料層上的部分該第二金屬層被圖案化並形成多個第二金屬元件,其中各個該第二金屬元件實質上對準各個該第一金屬元件,其中該蝕刻停止於該蝕刻停止層且不蝕刻該多個第一金屬元件。
  6. 一種半導體裝置,包括:一介電材料層,設於一半導體基底上;多個第一金屬元件,包括一第一導電材料及一第二導電材料,該第二導電材料的重量濃度小於該第一導電材料,嵌入該介電材料層中;一阻障層,***於該介電材料層與各個該第一金屬元件的底部與側壁之間;及一停止層,包括該第二導電材料,形成於各個該第一金屬元件的頂部上,該停止層使其下之該第一金屬元件於後續蝕刻步驟中不被蝕刻。
  7. 如申請專利範圍第6項所述之半導體裝置,其中該停止層 具有約5埃至約50埃之厚度。
  8. 如申請專利範圍第6項所述之半導體裝置,其中該停止層包括一擇自由Ta、TaN、TaSiN、W、WN、Ru、Co、CoW、CoWP、Ti、TiN、TiSiN、C及前述之組合所組成之族群的材料。
  9. 如申請專利範圍第6項所述之半導體裝置,更包括:一自組裝單層(SAM),***於各個該第一金屬元件的頂部與該停止層之間。
  10. 如申請專利範圍第6項所述之半導體裝置,更包括:多個第二金屬元件,其中至少一個實質上對準但偏移各位於下方的該第一金屬元件,其中該第一及/或該第二金屬元件包括一擇自由Cu、CuMg、CuAl、CuMn、CuTi、CuSi、CuW、CuTa、CuZr、CuMo及前述之組合所組成之族群的材料。
TW102147881A 2013-03-06 2013-12-24 半導體裝置及其製造方法 TWI514449B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/787,492 US9252049B2 (en) 2013-03-06 2013-03-06 Method for forming interconnect structure that avoids via recess

Publications (2)

Publication Number Publication Date
TW201436002A TW201436002A (zh) 2014-09-16
TWI514449B true TWI514449B (zh) 2015-12-21

Family

ID=51486865

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102147881A TWI514449B (zh) 2013-03-06 2013-12-24 半導體裝置及其製造方法

Country Status (2)

Country Link
US (2) US9252049B2 (zh)
TW (1) TWI514449B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9136166B2 (en) * 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US9837356B1 (en) 2016-06-07 2017-12-05 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance
CN109427880B (zh) * 2017-08-22 2021-12-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10678135B2 (en) 2017-12-20 2020-06-09 International Business Machines Corporation Surface treatment of titanium containing hardmasks
US11158573B2 (en) * 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
KR20210085421A (ko) * 2019-12-30 2021-07-08 에스케이하이닉스 주식회사 반도체 장치
CN111472000B (zh) * 2020-04-15 2021-07-27 苏州华星光电技术有限公司 一种铜钼膜层的蚀刻方法、阵列基板
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치
CN112614808A (zh) * 2020-12-17 2021-04-06 中国电子科技集团公司第十三研究所 薄膜金属层的刻蚀方法及薄膜金属层的刻蚀结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200531208A (en) * 2003-12-24 2005-09-16 Intel Corp Damascene process for fabricating interconnect layers in an integrated circuit
CN1967845A (zh) * 2005-11-15 2007-05-23 东部电子股份有限公司 半导体器件及其制造方法
US20070293049A1 (en) * 2006-06-20 2007-12-20 Gaku Minamihaba Slurry for CMP of Cu film, polishing method and method for manufacturing semiconductor device
TW201246376A (en) * 2006-08-30 2012-11-16 Lam Res Corp Self assembled monolayer for improving adhesion between copper and barrier layer

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004031586A (ja) * 2002-06-25 2004-01-29 Sony Corp 半導体装置の製造方法
US20040056366A1 (en) * 2002-09-25 2004-03-25 Maiz Jose A. A method of forming surface alteration of metal interconnect in integrated circuits for electromigration and adhesion improvement
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7538434B2 (en) * 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
CN101573787B (zh) * 2007-01-05 2011-05-25 Nxp股份有限公司 制造互连结构的方法
US7704885B2 (en) * 2007-05-24 2010-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8349731B2 (en) * 2011-03-25 2013-01-08 GlobalFoundries, Inc. Methods for forming copper diffusion barriers for semiconductor interconnect structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200531208A (en) * 2003-12-24 2005-09-16 Intel Corp Damascene process for fabricating interconnect layers in an integrated circuit
CN1967845A (zh) * 2005-11-15 2007-05-23 东部电子股份有限公司 半导体器件及其制造方法
US20070293049A1 (en) * 2006-06-20 2007-12-20 Gaku Minamihaba Slurry for CMP of Cu film, polishing method and method for manufacturing semiconductor device
TW201246376A (en) * 2006-08-30 2012-11-16 Lam Res Corp Self assembled monolayer for improving adhesion between copper and barrier layer

Also Published As

Publication number Publication date
US20160148874A1 (en) 2016-05-26
US9252049B2 (en) 2016-02-02
US9646932B2 (en) 2017-05-09
US20140252618A1 (en) 2014-09-11
TW201436002A (zh) 2014-09-16

Similar Documents

Publication Publication Date Title
TWI514449B (zh) 半導體裝置及其製造方法
US11715689B2 (en) Method of forming metal interconnection
US11682624B2 (en) Method of forming an interconnect structure having an air gap and structure thereof
US9818695B2 (en) Material and process for copper barrier layer
US9997404B2 (en) Method of forming an interconnect structure for a semiconductor device
TWI495043B (zh) 形成無凹陷連線結構的方法
US9972529B2 (en) Method of forming metal interconnection
US9224643B2 (en) Structure and method for tunable interconnect scheme
US8980745B1 (en) Interconnect structures and methods of forming same
TWI595597B (zh) 形成金屬內連接之方法
TW202205590A (zh) 半導體元件
US8835304B2 (en) Method of semiconductor integrated circuit fabrication
TW202303759A (zh) 內連線結構的形成方法