TWI509371B - System and method for treating substrate - Google Patents

System and method for treating substrate Download PDF

Info

Publication number
TWI509371B
TWI509371B TW102133483A TW102133483A TWI509371B TW I509371 B TWI509371 B TW I509371B TW 102133483 A TW102133483 A TW 102133483A TW 102133483 A TW102133483 A TW 102133483A TW I509371 B TWI509371 B TW I509371B
Authority
TW
Taiwan
Prior art keywords
module
substrate
buffer
exposure
chamber
Prior art date
Application number
TW102133483A
Other languages
Chinese (zh)
Other versions
TW201415173A (en
Inventor
Dong Ho Kim
Jinyoung Choi
Jae-Seung Go
Soomin Hwang
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=42754716&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI509371(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of TW201415173A publication Critical patent/TW201415173A/en
Application granted granted Critical
Publication of TWI509371B publication Critical patent/TWI509371B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Public Health (AREA)
  • Manufacturing & Machinery (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

基板處理系統及方法Substrate processing system and method

本發明係關於一種基板處理系統與方法,且更特定而言,係關於一種用於對晶圓執行一光刻製程(photolithography process)之系統及方法。The present invention relates to a substrate processing system and method, and more particularly to a system and method for performing a photolithography process on a wafer.

為製造半導體元件,需執行諸如清潔製程、沉積製程、光刻製程、蝕刻製程、離子佈植製程等各種製程。在半導體元件之高度整合中,用於形成圖案之照相製程(photography process)起著重要作用。In order to manufacture semiconductor components, various processes such as a cleaning process, a deposition process, a photolithography process, an etching process, and an ion implantation process are performed. In the high integration of semiconductor components, the photography process for forming patterns plays an important role.

大體上,一用於執行該光刻製程(photolithography process)之系統包含:一塗佈單元,其用於將光阻劑塗佈於一晶圓上;一顯像單元,其用於對已經歷一曝光製程之晶圓執行一顯像製程;及一處理模組,其具有一用於與一曝光設備直排連接之介面。近年來,隨著半導體元件之高度整合,增加了執行曝光製程所需之時間。此導致在曝光設備中出現晶圓壅塞。因此,在一基板處理模組中所提供之塗佈及顯像單元中,處理效率被顯著劣化。In general, a system for performing the photolithography process includes: a coating unit for coating a photoresist on a wafer; and a developing unit for the pair having experienced An exposure process wafer performs a development process; and a processing module has an interface for direct connection to an exposure apparatus. In recent years, with the high integration of semiconductor components, the time required to perform an exposure process has increased. This causes wafer clogging in the exposure device. Therefore, in the coating and developing unit provided in a substrate processing module, the processing efficiency is remarkably deteriorated.

本發明提供一種基板處理系統與方法,其可改良一光刻製程之效率。The present invention provides a substrate processing system and method that improves the efficiency of a lithography process.

本發明亦提供一種基板處理系統與方法,其可提高用於執行塗佈及顯像製程之單元的生產效率,所述製程分別在一曝光製程之前及之後執行。The present invention also provides a substrate processing system and method that increases the production efficiency of a unit for performing a coating and developing process, which are performed before and after an exposure process, respectively.

本發明亦提供一種基板處理系統,其具有一可有效地配置處 理室以用於執行製程之佈局。The invention also provides a substrate processing system having an effective arrangement The room is used to perform the layout of the process.

本發明之目的並不限於上述內容,且熟習此項技術者可透過以下說明而明瞭本發明之其他目的。The object of the present invention is not limited to the above, and other objects of the present invention will become apparent to those skilled in the art from this description.

本發明之具體實施例提供一種基板處理系統,其包含:一塗佈單元,其用於對基板執行一塗佈製程;一曝光前後處理單元,其連接至一曝光單元,以用於對已在該塗佈單元中處理之基板執行一曝光製程及執行一曝光前/曝光後處理製程;及一顯像單元,其用於對已在該曝光前後處理單元中處理之基板執行一顯像製程。該塗佈單元、該曝光前後處理單元及該顯像單元之每一者,包含:一裝載口,於其上設置有一用以收納基板的容器;一分度模組,其自該容器取出基板或將基板運送至該容器;及一處理模組,其用於在基板上執行一預定製程。該裝載口、該分度模組,及該處理模組按順序配置。該曝光前後處理單元更包含:一介面模組,其連接至該曝光單元,該介面模組設置於該處理模組之一側,而該分度模組設置於該處理模組之另一側。A specific embodiment of the present invention provides a substrate processing system, comprising: a coating unit for performing a coating process on a substrate; and an exposure before and after processing unit connected to an exposure unit for The substrate processed in the coating unit performs an exposure process and performs an pre-exposure/exposure post-processing process; and a developing unit for performing a developing process on the substrate that has been processed in the pre-exposure processing unit. Each of the coating unit, the pre-exposure processing unit and the developing unit comprises: a loading port on which a container for accommodating the substrate is disposed; and an indexing module for taking out the substrate from the container Or transporting the substrate to the container; and a processing module for performing a predetermined process on the substrate. The loading port, the indexing module, and the processing module are arranged in sequence. The pre- and post-exposure processing unit further includes: an interface module connected to the exposure unit, the interface module is disposed on one side of the processing module, and the indexing module is disposed on the other side of the processing module .

在具體實施例中,該曝光前後處理單元之處理模組可包含設置於不同層之第一模組和第二模組。該第一模組可包含一保護層塗佈室,該保護層塗佈室用於將一保護層塗佈於基板上;一烘烤室,其用於對基板執行一熱處理;及一第一機器人,其用於在該保護層塗佈室與該烘烤室之間傳送基板。該第二模組可更包含一清潔室,其用於清潔基板。此外,該第二模組可包含一曝光後烘烤室,該曝光後烘烤室用於對已曝光之基板執行一曝光後烘烤;及一第二機器人,其用於在該清潔室與該曝光後烘烤室之間傳送基板。In a specific embodiment, the processing module of the pre-exposure processing unit may include a first module and a second module disposed in different layers. The first module may include a protective layer coating chamber for applying a protective layer on the substrate, a baking chamber for performing a heat treatment on the substrate, and a first A robot for transferring a substrate between the protective layer coating chamber and the baking chamber. The second module can further include a cleaning chamber for cleaning the substrate. In addition, the second module may include an after-exposure baking chamber for performing post-exposure baking on the exposed substrate; and a second robot for use in the cleaning chamber The substrate is transferred between the post-exposure baking chambers.

在其他具體實施例中,該曝光前後處理單元可更包含一緩衝模組,該緩衝模組設置於該分度模組與該處理模組之間,其中,該緩衝模組包括:一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;及一第二緩衝區,其設置於一對應於該 第二模組之高度且臨時儲存基板。所述第一和第二緩衝區可相互堆疊,且所述第一和第二緩衝區之每一者可包含複數個支撐物。此外,該曝光前後處理單元之該緩衝模組可更包含一緩衝區機器人,該緩衝區機器人用於在所述第一和第二緩衝區之間傳送基板。所述第一和第二緩衝區可在一垂直方向上並排配置。該緩衝模組可設置於一對應於該第一模組之高度且更包含一冷卻室,該冷卻室用於冷卻基板。In other embodiments, the pre- and post-exposure processing unit may further include a buffer module disposed between the indexing module and the processing module, wherein the buffer module includes: a first a buffer, which is disposed at a height corresponding to the height of the first module and temporarily stores the substrate; and a second buffer disposed on the one corresponding to the The height of the second module temporarily stores the substrate. The first and second buffers may be stacked on each other, and each of the first and second buffers may include a plurality of supports. In addition, the buffer module of the pre-exposure processing unit may further include a buffer robot for transferring the substrate between the first and second buffers. The first and second buffers may be arranged side by side in a vertical direction. The buffer module can be disposed at a height corresponding to the first module and further includes a cooling chamber for cooling the substrate.

在其他具體實施例中,該介面模組可包含一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;一第二緩衝區,其設置於一對應於該第二模組之高度且臨時儲存基板;及一介面機器人,以用於在該曝光單元與該第二緩衝區之間以及在該第二緩衝區與該曝光單元之間傳送基板。In another embodiment, the interface module may include a first buffer disposed at a height corresponding to the height of the first module and temporarily storing the substrate; and a second buffer disposed on the corresponding buffer a height of the second module and temporarily storing the substrate; and an interface robot for transferring the substrate between the exposure unit and the second buffer and between the second buffer and the exposure unit.

在其他具體實施例中,該塗佈單元可更包含一邊緣曝光模組,其中該邊緣曝光模組可設置於該處理模組之一側,而該分度模組可設置於該處理模組之另一側。In another embodiment, the coating unit may further include an edge exposure module, wherein the edge exposure module may be disposed on one side of the processing module, and the indexing module may be disposed in the processing module The other side.

在本發明之其他具體實施例中,一種曝光前後處理單元,其用在一曝光製程之前及之後對一於其上塗佈光阻劑之基板執行所需製程,該曝光前後處理單元包含:一裝載口,其上設置有一用於收納基板之容器;一分度模組,其自該容器取出基板,或將基板運送至該容器;一處理模組,其用於對該基板執行一製程;及一介面模組,其連接至一曝光單元。該裝載口、該分度模組、該處理模組及該介面模組按順序配置在一第一方向上,且該處理模組包含一保護層塗佈室,該保護層塗佈室用於將一保護層塗佈於基板之上。該處理模組可更包含一清潔室,該清潔室用於清潔基板。該處理模組可更包含一熱處理基板之烘烤室。該處理模組可更包含一曝光後烘烤室,該曝光後烘烤室用於對已曝光之基板執行一曝光後烘烤製程。In another embodiment of the present invention, an pre-exposure processing unit is configured to perform a desired process on a substrate on which a photoresist is applied before and after an exposure process, the pre- and post-exposure processing unit comprising: a loading port provided with a container for accommodating the substrate; an indexing module for taking out the substrate from the container or transporting the substrate to the container; and a processing module for performing a process on the substrate; And an interface module connected to an exposure unit. The loading port, the indexing module, the processing module, and the interface module are sequentially disposed in a first direction, and the processing module includes a protective layer coating chamber, and the protective layer coating chamber is used for A protective layer is applied over the substrate. The processing module can further include a cleaning chamber for cleaning the substrate. The processing module may further comprise a baking chamber for heat treating the substrate. The processing module may further comprise an exposure post-baking chamber for performing an exposure post-baking process on the exposed substrate.

在具體實施例中,該處理模組可包含設置於不同層之第一模 組和第二模組,其中該保護層塗佈室可設置於該第一模組中,而該清潔室可設置於該第二模組中。該處理模組可更包含一烘烤室,該烘烤室設置於該第一模組中並用於熱處理基板;一第一機器人,其設置於該第一模組中,並用於在該保護層塗佈室與該烘烤室之間傳送基板;一曝光後烘烤室,其設置於該第二模組中且用於對已曝光之基板執行一曝光後烘烤製程;及一第二機器人,其設置於該第二模組中,且用於在該清潔室與該曝光後烘烤室之間傳送基板。In a specific embodiment, the processing module can include a first mode disposed on different layers. And a second module, wherein the protective layer coating chamber can be disposed in the first module, and the cleaning chamber can be disposed in the second module. The processing module may further include a baking chamber disposed in the first module and used for heat processing the substrate; a first robot disposed in the first module and used in the protective layer Transferring a substrate between the coating chamber and the baking chamber; a post-exposure baking chamber disposed in the second module and configured to perform an exposure post-baking process on the exposed substrate; and a second robot It is disposed in the second module and is configured to transfer the substrate between the cleaning chamber and the post-exposure baking chamber.

在其他具體實施例中,該曝光前後處理單元可更包含一緩衝模組,該緩衝模組設置於該分度模組與該處理模組之間,其中該緩衝模組可包含一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;及一第二緩衝區,其設置於一對應於該第二模組之高度且臨時儲存基板。所述第一和第二緩衝區可相互堆疊,且所述第一和第二緩衝區之每一者可包含複數個支撐物,於所述支撐物上分別設置所述基板。該緩衝模組可更包含一緩衝區機器人,以用於在所述第一和第二緩衝區之間傳送基板。所述第一和第二緩衝區可在一垂直方向上並排配置。該緩衝模組可設置於一對應於該第一模組之高度且更包含一冷卻室,以用於冷卻基板。In other embodiments, the pre- and post-exposure processing unit may further include a buffer module disposed between the indexing module and the processing module, wherein the buffer module may include a first buffer And a second buffer region disposed at a height corresponding to the height of the second module and temporarily storing the substrate. The first and second buffers may be stacked on each other, and each of the first and second buffers may include a plurality of supports on which the substrates are respectively disposed. The buffer module can further include a buffer robot for transferring the substrate between the first and second buffers. The first and second buffers may be arranged side by side in a vertical direction. The buffer module can be disposed at a height corresponding to the first module and further includes a cooling chamber for cooling the substrate.

在其他具體實施例中,該介面模組可包含一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;一第二緩衝區,其設置於一對應於該第二模組之高度且臨時儲存基板;及一介面機器人,其用於在該第一緩衝區與該曝光單元之間以及在該第二緩衝區及該曝光單元之間傳送基板。所述第一和第二緩衝區可相互堆疊,且所述第一和第二緩衝區之每一者可包含複數個支撐物,而所述基板分別設置於所述支撐物上。In another embodiment, the interface module may include a first buffer disposed at a height corresponding to the height of the first module and temporarily storing the substrate; and a second buffer disposed on the corresponding buffer a height of the second module and temporarily storing the substrate; and an interface robot for transferring the substrate between the first buffer and the exposure unit and between the second buffer and the exposure unit. The first and second buffers may be stacked on each other, and each of the first and second buffers may include a plurality of supports, and the substrates are respectively disposed on the support.

在本發明之更多其他具體實施例中,一種曝光前後處理單元,其用在一曝光製程之前及之後對一於其上塗佈光阻劑之基板 執行所需製程,該曝光前後處理單元包含:一裝載口,於該裝載口之上設置一用於收納基板的容器;一分度模組,其自該容器取出基板,或將基板運送至該容器;一處理模組,其對基板執行一製程;一緩衝模組,其設置於該分度模組與該處理模組之間;及一介面模組,其連接至一曝光單元。該裝載口、該分度模組、該緩衝模組、該處理模組及該介面模組按順序配置在一第一方向上,而該處理模組包含設置於不同層之第一和第二模組。該第一模組包含:一保護層塗佈室,其用於將一保護層塗佈於基板上;一烘烤室,其用於熱處理基板;及一回流室,其具有一第一機器人,其用於在該保護層塗佈室、該烘烤室、該緩衝模組及該介面模組之間傳送基板。該第二模組包含:一清潔室,其用於清潔基板;一曝光後烘烤室,其用於對基板執行一曝光後烘烤製程;及一回流室,其配備一第二機器人,以用於在該清潔室、該曝光後烘烤室、該緩衝模組及該介面模組之間傳送晶圓。In still other specific embodiments of the present invention, an before and after exposure processing unit is used for a substrate on which a photoresist is coated before and after an exposure process. Performing a required process, the pre-exposure processing unit includes: a loading port, a container for accommodating the substrate is disposed on the loading port; an indexing module, the substrate is taken out from the container, or the substrate is transported to the a processing module that performs a process on the substrate; a buffer module disposed between the indexing module and the processing module; and an interface module coupled to an exposure unit. The loading port, the indexing module, the buffer module, the processing module, and the interface module are sequentially disposed in a first direction, and the processing module includes first and second layers disposed on different layers Module. The first module comprises: a protective layer coating chamber for applying a protective layer on the substrate; a baking chamber for heat treating the substrate; and a reflow chamber having a first robot, It is used to transfer a substrate between the protective layer coating chamber, the baking chamber, the buffer module, and the interface module. The second module comprises: a cleaning chamber for cleaning the substrate; an exposure baking chamber for performing an exposure post-baking process on the substrate; and a reflow chamber equipped with a second robot to The wafer is transferred between the cleaning chamber, the post-exposure baking chamber, the buffer module, and the interface module.

在其他具體實施例中,該保護層塗佈室、配備該第一機器人之該回流室、及該烘烤室可按順序配置於一第二方向上,且該清潔室、配備該第二機器人之該回流室及該曝光後烘烤室可按順序配置於該第二方向上。In other specific embodiments, the protective layer coating chamber, the reflow chamber equipped with the first robot, and the baking chamber may be sequentially disposed in a second direction, and the cleaning chamber is equipped with the second robot The reflow chamber and the post-exposure bake chamber may be sequentially disposed in the second direction.

在其他具體實施例中,該第一模組可佈置於該第二模組之上。該緩衝模組可包含:一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;及一冷卻室,其設置於一對應於該第二模組之高度且用於冷卻基板。該第一緩衝區及該冷卻室可在一垂直方向上並排配置。自上方檢視時,在該第一方向上,該第一緩衝區可配置成與該第一模組之回流室成一直線。In other embodiments, the first module can be disposed on the second module. The buffer module may include: a first buffer disposed at a height corresponding to the first module and temporarily storing the substrate; and a cooling chamber disposed at a height corresponding to the second module Used to cool the substrate. The first buffer zone and the cooling chamber may be arranged side by side in a vertical direction. In the first direction, the first buffer may be configured to be in line with the return chamber of the first module.

在更多其他具體實施例中,該緩衝模組可更包含:一第二緩衝區,其設置於一對應於該第二模組之高度且臨時儲存基板;及一緩衝區機器人,其在所述第一和第二緩衝區之間傳送基板。自上方檢視時,該第一緩衝區及該緩衝區機器人可配置於一垂直於 該第一方向之第二方向上。In still other specific embodiments, the buffer module may further include: a second buffer disposed at a height corresponding to the height of the second module and temporarily storing the substrate; and a buffer robot in the The substrate is transferred between the first and second buffer regions. The first buffer and the buffer robot can be configured to be perpendicular to In the second direction of the first direction.

在本發明之更多其他具體實施例中,一種基板處理方法,其包含:在該基板上塗佈光阻劑;在已於其上塗佈光阻劑之基板上塗佈一保護層;對已於其上塗佈該保護層之基板執行一液體浸潤微影製程(liquid immersion lithography process);清潔已在該液體浸潤微影製程中處理之基板;及對該基板執行一顯像製程。該保護層之塗佈及該基板之清潔在一曝光前後處理單元中執行,該曝光前後處理單元與一執行該液體浸潤微影製程之曝光單元連接成一直線。該光阻劑之塗佈執行於一與該曝光前後處理單元分隔之塗佈單元中。該顯像製程之執行係實現於一與該曝光前後處理單元分隔之塗佈單元中。In still another specific embodiment of the present invention, a substrate processing method includes: coating a photoresist on the substrate; coating a protective layer on the substrate on which the photoresist is coated; The substrate on which the protective layer is coated is subjected to a liquid immersion lithography process; the substrate that has been processed in the liquid immersion lithography process is cleaned; and a developing process is performed on the substrate. The coating of the protective layer and the cleaning of the substrate are performed in an exposure before and after processing unit, and the pre- and post-exposure processing unit is connected in line with an exposure unit that performs the liquid infiltration lithography process. The coating of the photoresist is performed in a coating unit separated from the pre- and post-exposure processing unit. The execution of the development process is implemented in a coating unit that is separate from the pre- and post-exposure processing unit.

在具體實施例中,該方法可更包含在清潔基板之後且在對基板執行顯像製程之前,對基板執行一曝光後烘烤製程。該基板之清潔可藉由將清潔液體供應至基板而執行,且殘留於基板上之清潔液體可藉由加熱該基板來移除,而無需藉由供應流體來乾燥該基板。In a specific embodiment, the method may further include performing an exposure post-baking process on the substrate after cleaning the substrate and before performing a developing process on the substrate. The cleaning of the substrate can be performed by supplying a cleaning liquid to the substrate, and the cleaning liquid remaining on the substrate can be removed by heating the substrate without drying the substrate by supplying a fluid.

該基板之清潔可藉由將清潔液體供應至基板來執行,且殘留於基板上之清潔液體可在緊接該基板之清潔之後執行之曝光後烘烤製程中被移除。The cleaning of the substrate can be performed by supplying a cleaning liquid to the substrate, and the cleaning liquid remaining on the substrate can be removed in an exposure post-baking process performed immediately after cleaning of the substrate.

該保護層可在該曝光前後處理單元之一外側被移除。該保護層之一部分可在該顯像製程中被移除,而其餘部分可在一灰化製程中移除。The protective layer can be removed outside of one of the pre- and post-exposure processing units. A portion of the protective layer can be removed during the development process while the remainder can be removed in an ashing process.

1‧‧‧基板處理系統1‧‧‧Substrate processing system

12‧‧‧第一方向12‧‧‧First direction

14‧‧‧第二方向14‧‧‧second direction

16‧‧‧第三方向16‧‧‧ Third direction

102‧‧‧薄膜102‧‧‧film

103‧‧‧曝光區域103‧‧‧Exposure area

104‧‧‧光阻劑104‧‧‧ photoresist

106‧‧‧保護層106‧‧‧Protective layer

108‧‧‧選定區域108‧‧‧Selected areas

1000‧‧‧自動傳送單元1000‧‧‧Automatic transfer unit

2000‧‧‧容器2000‧‧‧ Container

3000‧‧‧塗佈單元3000‧‧‧ Coating unit

3100‧‧‧裝載口3100‧‧‧Load port

3120‧‧‧裝載台3120‧‧‧Loading station

3200‧‧‧分度模組3200‧‧‧Dimension Module

3210‧‧‧框架3210‧‧‧Frame

3220‧‧‧分度機器人3220‧‧‧ Indexing robot

3221‧‧‧手3221‧‧‧Hand

3222‧‧‧臂3222‧‧‧ Arm

3223‧‧‧支撐物3223‧‧‧Support

3224‧‧‧基座3224‧‧‧Base

3230‧‧‧導軌3230‧‧‧rails

3300‧‧‧緩衝模組3300‧‧‧ buffer module

3310‧‧‧框架3310‧‧‧Frame

3320‧‧‧第一緩衝區3320‧‧‧ first buffer

3321‧‧‧外殼3321‧‧‧Shell

3322‧‧‧支撐物3322‧‧‧Support

3330‧‧‧第二緩衝區3330‧‧‧second buffer zone

3331‧‧‧外殼3331‧‧‧Shell

3332‧‧‧支撐物3332‧‧‧Support

3340‧‧‧第一冷卻室3340‧‧‧First cooling room

3350‧‧‧第二冷卻室3350‧‧‧Second cooling room

3351‧‧‧外殼3351‧‧‧Shell

3352‧‧‧冷卻板3352‧‧‧Cooling plate

3353‧‧‧冷卻元件3353‧‧‧Cooling elements

3360‧‧‧緩衝區機器人3360‧‧‧ Buffer Robot

3361‧‧‧手3361‧‧‧Hand

3362‧‧‧臂3362‧‧‧ Arm

3363‧‧‧支撐物3363‧‧‧Support

3400‧‧‧處理模組3400‧‧‧Processing module

3401‧‧‧第一模組3401‧‧‧ first module

3402‧‧‧第二模組3402‧‧‧ second module

3410‧‧‧光阻劑塗佈室3410‧‧‧Photoresist coating room

3411‧‧‧外殼3411‧‧‧Shell

3412‧‧‧支撐板3412‧‧‧Support plate

3413‧‧‧噴嘴3413‧‧‧Nozzles

3414‧‧‧噴嘴3414‧‧‧Nozzles

3420‧‧‧烘烤室3420‧‧‧Basic room

3421‧‧‧冷卻板3421‧‧‧Cooling plate

3422‧‧‧加熱板3422‧‧‧heating plate

3423‧‧‧冷卻元件3423‧‧‧Cooling elements

3424‧‧‧加熱元件3424‧‧‧ heating element

3430‧‧‧回流室3430‧‧‧Return room

3432‧‧‧第一機器人3432‧‧‧First robot

3433‧‧‧導軌3433‧‧‧rail

3434‧‧‧手3434‧‧‧Hand

3435‧‧‧臂3435‧‧‧ Arm

3436‧‧‧支撐物3436‧‧‧Support

3437‧‧‧基座3437‧‧‧Base

3453‧‧‧臂3453‧‧‧ Arm

3460‧‧‧光阻劑塗佈室3460‧‧‧ photoresist coating room

3470‧‧‧烘烤室3470‧‧ ‧ baking room

3480‧‧‧回流室3480‧‧‧Return room

3482‧‧‧第二機器人3482‧‧‧Second robot

3500‧‧‧邊緣曝光模組3500‧‧‧Edge exposure module

3510‧‧‧框架3510‧‧‧Frame

3520‧‧‧第一緩衝區3520‧‧‧First buffer zone

3530‧‧‧第二緩衝區3530‧‧‧second buffer zone

3540‧‧‧第一冷卻室3540‧‧‧First cooling room

3550‧‧‧第二冷卻室3550‧‧‧Second cooling room

3560‧‧‧邊緣曝光機器人3560‧‧‧Edge Exposure Robot

3570‧‧‧第一邊緣曝光室3570‧‧‧First Edge Exposure Room

3580‧‧‧第二邊緣曝光室3580‧‧‧Second edge exposure room

4000‧‧‧曝光前後處理單元4000‧‧‧Pre-exposure processing unit

4100‧‧‧裝載口4100‧‧‧Load port

4120‧‧‧裝載台4120‧‧‧Loading station

4200‧‧‧分度模組4200‧‧‧Dimension Module

4210‧‧‧框架4210‧‧‧Frame

4220‧‧‧分度機器人4220‧‧‧ Indexing robot

4221‧‧‧手4221‧‧‧Hand

4222‧‧‧臂4222‧‧‧ Arm

4223‧‧‧支撐物4223‧‧‧Support

4224‧‧‧基座4224‧‧‧Base

4230‧‧‧導軌4230‧‧‧rail

4300‧‧‧緩衝模組4300‧‧‧buffer module

4310‧‧‧框架4310‧‧‧Frame

4320‧‧‧第一緩衝區4320‧‧‧ first buffer

4321‧‧‧外殼4321‧‧‧ Shell

4322‧‧‧支撐物4322‧‧‧Support

4330‧‧‧第二緩衝區4330‧‧‧second buffer zone

4331‧‧‧外殼4331‧‧‧Shell

4332‧‧‧支撐物4332‧‧‧Support

4340‧‧‧冷卻室4340‧‧‧Cooling room

4341‧‧‧外殼4341‧‧‧Shell

4342‧‧‧冷卻板4342‧‧‧Cooling plate

4343‧‧‧冷卻元件4343‧‧‧Cooling element

4360‧‧‧緩衝區機器人4360‧‧‧ Buffer Robot

4361‧‧‧手4361‧‧‧Hand

4362‧‧‧臂4362‧‧‧ Arm

4363‧‧‧支撐物4363‧‧‧Support

4400‧‧‧處理模組4400‧‧‧Processing Module

4401‧‧‧第一模組4401‧‧‧ first module

4402‧‧‧第二模組4402‧‧‧ second module

4410‧‧‧保護層塗佈室4410‧‧‧Protective coating room

4411‧‧‧外殼4411‧‧‧Shell

4412‧‧‧支撐板4412‧‧‧Support board

4413‧‧‧噴嘴4413‧‧‧Nozzles

4420‧‧‧烘烤室4420‧‧ ‧ baking room

4421‧‧‧冷卻板4421‧‧‧Cooling plate

4422‧‧‧加熱板4422‧‧‧heating plate

4423‧‧‧冷卻元件4423‧‧‧Cooling elements

4424‧‧‧加熱元件4424‧‧‧ heating element

4430‧‧‧回流室4430‧‧‧Return room

4432‧‧‧第一機器人4432‧‧‧First robot

4433‧‧‧手4433‧‧‧Hand

4434‧‧‧臂4434‧‧‧ Arm

4435‧‧‧支撐物4435‧‧‧Support

4460‧‧‧清潔室4460‧‧‧Clean room

4461‧‧‧外殼4461‧‧‧Shell

4462‧‧‧支撐板4462‧‧‧Support board

4463‧‧‧噴嘴4463‧‧‧Nozzles

4470‧‧‧曝光後烘烤室4470‧‧‧After exposure baking room

4471‧‧‧冷卻板4471‧‧‧Cooling plate

4472‧‧‧加熱板4472‧‧‧heating plate

4473‧‧‧冷卻元件4473‧‧‧Cooling element

4474‧‧‧加熱元件4474‧‧‧ heating element

4480‧‧‧回流室4480‧‧‧Return room

4482‧‧‧第二機器人4482‧‧‧Second robot

4500‧‧‧介面模組4500‧‧‧Interface module

4510‧‧‧框架4510‧‧‧Frame

4520‧‧‧第一緩衝區4520‧‧‧First buffer zone

4521‧‧‧外殼4521‧‧‧Shell

4522‧‧‧支撐物4522‧‧‧Support

4530‧‧‧第二緩衝區4530‧‧‧second buffer zone

4531‧‧‧外殼4531‧‧‧Shell

4532‧‧‧支撐物4532‧‧‧Support

4540‧‧‧介面機器人4540‧‧‧Interface robot

5000‧‧‧顯像單元5000‧‧‧Dynamic unit

5100‧‧‧裝載口5100‧‧‧Load port

5120‧‧‧裝載台5120‧‧‧Loading station

5200‧‧‧分度模組5200‧‧‧Dimension Module

5210‧‧‧框架5210‧‧‧Frame

5220‧‧‧分度機器人5220‧‧‧ Indexing robot

5221‧‧‧手5221‧‧‧Hand

5222‧‧‧臂5222‧‧‧ Arm

5223‧‧‧支撐物5223‧‧‧Support

5224‧‧‧基座5224‧‧‧Base

5230‧‧‧導軌5230‧‧‧rail

5300‧‧‧緩衝模組5300‧‧‧buffer module

5310‧‧‧框架5310‧‧‧Frame

5320‧‧‧第一緩衝區5320‧‧‧ first buffer

5321‧‧‧外殼5321‧‧‧Shell

5322‧‧‧支撐物5322‧‧‧Support

5330‧‧‧第二緩衝區5330‧‧‧second buffer zone

5331‧‧‧外殼5331‧‧‧Shell

5332‧‧‧支撐物5332‧‧‧Support

5340‧‧‧第一冷卻室5340‧‧‧First cooling room

5350‧‧‧第二冷卻室5350‧‧‧Second cooling room

5351‧‧‧外殼5351‧‧‧Shell

5352‧‧‧冷卻板5352‧‧‧Cooling plate

5353‧‧‧冷卻單元5353‧‧‧Cooling unit

5360‧‧‧緩衝區機器人5360‧‧‧ Buffer Robot

5361‧‧‧手5361‧‧‧Hand

5362‧‧‧臂5362‧‧‧ Arm

5363‧‧‧支撐物5363‧‧‧Support

5400‧‧‧處理模組5400‧‧‧Processing module

5401‧‧‧第一模組5401‧‧‧ first module

5402‧‧‧第二模組5402‧‧‧ second module

5410‧‧‧顯像室5410‧‧‧ Imaging room

5411‧‧‧外殼5411‧‧‧Shell

5412‧‧‧噴嘴5412‧‧‧Nozzles

5413‧‧‧噴嘴5413‧‧‧Nozzles

5414‧‧‧噴嘴5414‧‧‧Nozzles

5420‧‧‧烘烤室5420‧‧‧Basic room

5421‧‧‧冷卻板5421‧‧‧Cooling plate

5422‧‧‧加熱板5422‧‧‧heating plate

5423‧‧‧冷卻元件5423‧‧‧Cooling element

5424‧‧‧加熱元件5424‧‧‧ heating element

5430‧‧‧回流室5430‧‧‧Return room

5432‧‧‧第一機器人5432‧‧‧First robot

5433‧‧‧導軌5433‧‧‧rail

5434‧‧‧手5434‧‧‧Hand

5435‧‧‧臂5435‧‧‧ Arm

5436‧‧‧支撐物5436‧‧‧Support

5437‧‧‧基座5437‧‧‧Base

5453‧‧‧臂5453‧‧‧ Arm

5460‧‧‧顯像室5460‧‧‧ Imaging room

5470‧‧‧烘烤室5470‧‧・Bake room

5480‧‧‧回流室5480‧‧‧Return room

9000‧‧‧曝光單元9000‧‧‧Exposure unit

A‧‧‧方向「A」A‧‧‧ Direction "A"

B‧‧‧方向「B」B‧‧‧ Direction "B"

C‧‧‧方向「C」C‧‧‧ Direction "C"

D‧‧‧方向「D」D‧‧‧ Direction "D"

E‧‧‧方向「E」E‧‧‧ Direction "E"

F‧‧‧方向「F」F‧‧‧ Direction "F"

w‧‧‧晶圓W‧‧‧ wafer

所含隨附圖式,係為提供對本發明之深入瞭解,其併入本說明書且構成本說明書之一部分。所述圖式說明本發明之例示性具體實施例,並與「實施方式」一起用於解釋本發明之原理。The accompanying drawings are included to provide a further understanding of the invention The drawings illustrate the exemplary embodiments of the invention and, together with the

在所述圖式中:第一圖係根據本發明之基板處理系統之概略示意圖; 第二圖至第四圖係根據本發明之塗佈單元之概略示意圖;第五a圖至第五b圖係說明執行於第二圖之塗佈單元中之連續製程之流程圖;第六圖至第八圖係根據本發明之曝光後烘烤單元之概略示意圖;第九圖係說明在第六圖之塗佈單元中執行之連續製程之流程圖;第十圖至第十二圖係根據本發明之顯像單元之概略示意視圖;第十三A圖至第十三B圖係說明執行於第十圖之顯像單元中之連續製程之流程圖;第十四A圖至第十四G圖係說明在一晶圓上形成一圖案之連續製程之示意圖。In the drawings: a first diagram is a schematic diagram of a substrate processing system in accordance with the present invention; 2 to 4 are schematic views of a coating unit according to the present invention; and fifth to fifth figures b are flowcharts illustrating a continuous process performed in the coating unit of the second drawing; The eighth drawing is a schematic diagram of the post-exposure baking unit according to the present invention; the ninth drawing is a flow chart illustrating the continuous process performed in the coating unit of the sixth drawing; the tenth to twelfth drawings are based on A schematic view of a developing unit of the present invention; and FIGS. 13A to 13B are flowcharts showing a continuous process performed in the developing unit of the tenth drawing; FIG. 14A to FIG. Figure G is a schematic illustration of a continuous process for forming a pattern on a wafer.

以下將參考隨附圖式更詳盡描述本發明之較佳具體實施例。然而,本發明可以不同形式具體實施,且不應被視為限制於本文所闡釋之所述具體實施例。相反,提供此等具體實施例係以便透徹而完整地揭示本發明,並將向熟習此項技術者充分傳達本發明之範圍。在所述圖式中,為便於清晰說明,層及區域之尺寸有所誇大。DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to the accompanying drawings. However, the invention may be embodied in various forms and should not be construed as being limited to the specific embodiments described herein. Rather, these embodiments are provided so that this disclosure will be thorough, In the drawings, the dimensions of layers and regions are exaggerated for clarity of illustration.

例示性具體實施例之系統用於對諸如平面顯示器或半導體晶圓之基板執行一光刻製程。尤其是,所述例示性具體實施例之系統用於執行處理基板之製程,諸如一塗佈製程、一顯像製程,及在一液體浸潤微影製程之前及之後所需要之其他製程。在以下說明中,將舉例說明一其中將一晶圓用作基板之情況。The system of the illustrative embodiments is for performing a lithography process on a substrate such as a flat panel display or a semiconductor wafer. In particular, the system of the exemplary embodiments is used to perform processes for processing substrates, such as a coating process, a development process, and other processes required before and after a liquid immersion lithography process. In the following description, a case in which a wafer is used as a substrate will be exemplified.

第一圖係根據本發明之一例示性具體實施例之一基板處理系統之概略示意視圖。參照第一圖,一基板處理系統1包含 一塗佈單元3000、一曝光前後處理單元4000、及一顯像單元5000。該塗佈單元3000與該曝光前後處理單元4000彼此分離。在該塗佈單元3000與該曝光前後處理單元4000之間,藉由一自動傳送單元1000或一工人傳送一晶圓W。該晶圓W在其收納於一容器(第二圖中之2000)中之狀態下被傳送。在此,該容器2000構造成被密封。舉例而言,可使用一具有一前門之前開式晶圓傳送盒(FOUP)作為該容器2000。在以下說明中,將說明一其中該塗佈單元3000、曝光前後處理單元4000、及顯像單元5000之長度方向彼此並排配置之情況。然而,該塗佈單元3000、曝光前後處理單元4000、及顯像單元5000之所述長度方向可以不彼此並排配置。The first drawing is a schematic schematic view of a substrate processing system in accordance with an exemplary embodiment of the present invention. Referring to the first figure, a substrate processing system 1 includes A coating unit 3000, an exposure before and after processing unit 4000, and a developing unit 5000. The coating unit 3000 and the pre- and post-exposure processing unit 4000 are separated from each other. Between the coating unit 3000 and the pre- and post-exposure processing unit 4000, a wafer W is transferred by an automatic transfer unit 1000 or a worker. This wafer W is conveyed in a state of being housed in a container (2000 in the second drawing). Here, the container 2000 is configured to be sealed. For example, a front wafer open wafer transfer cassette (FOUP) having a front door can be used as the container 2000. In the following description, a case will be described in which the longitudinal direction of the coating unit 3000, the pre-exposure processing unit 4000, and the developing unit 5000 are arranged side by side. However, the longitudinal directions of the coating unit 3000, the pre-exposure processing unit 4000, and the developing unit 5000 may not be arranged side by side.

該塗佈單元3000對該晶圓W執行一第一製程。該第一製程包含:一塗佈製程,以用於在該晶圓上塗佈光阻劑;及熱處理製程,以用於在該塗佈製程之前或之後加熱及冷卻該晶圓W。The coating unit 3000 performs a first process on the wafer W. The first process includes: a coating process for applying a photoresist on the wafer; and a heat treatment process for heating and cooling the wafer W before or after the coating process.

該顯像單元5000對該晶圓W執行一第二製程。該第二製程包含:一顯像製程,其使用一顯像液來移除光阻劑而形成一所需圖案;及熱處理製程,以用於在該顯像製程之前或之後加熱及冷卻該晶圓W。The developing unit 5000 performs a second process on the wafer W. The second process includes: a developing process that uses a developing solution to remove the photoresist to form a desired pattern; and a heat treatment process for heating and cooling the crystal before or after the developing process Round W.

該曝光前後處理單元4000直排連接至一曝光單元9000。該曝光前後處理單元4000執行一第三製程。該第三製程包含一執行於該第一製程與該曝光製程之間製程、及一執行於該曝光製程與該第二製程之間之製程。舉例而言,當所述曝光單元執行一液體浸潤微影製程時,該第三製程可包含一製程以用於塗佈一保護層,該保護層在該液體中曝光微影術過程中保護塗佈於該晶圓W上之光阻劑。此外,該第三製程可包含一製程,以用於在該曝光製程之後清潔晶圓。此外,當將化學增幅型光阻劑用於該塗佈製程並將深紫外光(DUV)用於該曝光製程 時,該第三製程可包含一執行於該曝光製程之後的後烘製程。The pre- and post-exposure processing unit 4000 is connected in series to an exposure unit 9000. The pre- and post-exposure processing unit 4000 performs a third process. The third process includes a process performed between the first process and the exposure process, and a process performed between the exposure process and the second process. For example, when the exposure unit performs a liquid immersion lithography process, the third process may include a process for coating a protective layer, the protective layer is protected during exposure to lithography in the liquid. A photoresist disposed on the wafer W. Additionally, the third process can include a process for cleaning the wafer after the exposure process. In addition, when a chemically amplified photoresist is used for the coating process and deep ultraviolet light (DUV) is used for the exposure process The third process may include a post-baking process performed after the exposure process.

以下將說明各自單元。The respective units will be explained below.

(塗佈單元)(coating unit)

第二圖至第四圖係該塗佈單元3000之概略示意視圖。即,第二圖係該塗佈單元3000自上方檢視時之視圖,第三圖係第二圖之塗佈單元3000在一方向「A」上檢視時之視圖,且第四圖係第二圖之塗佈單元3000在一方向「B」上檢視時之視圖。The second to fourth figures are schematic schematic views of the coating unit 3000. That is, the second drawing is a view when the coating unit 3000 is viewed from above, and the third drawing is a view when the coating unit 3000 of the second drawing is viewed in the direction "A", and the fourth drawing is the second drawing. The view of the coating unit 3000 when viewed in the direction "B".

參照第二圖至第四圖,該塗佈單元3000包含一裝載口3100、一分度模組3200、一緩衝模組3300、一處理模組3400及一邊緣曝光模組3500。該裝載口3100、分度模組3200、緩衝模組3300、處理模組3400、及邊緣曝光模組3500按順序配置在一條直線上,該直線在一方向上延伸。以下,該裝載口3100、分度模組3200、緩衝模組3300、處理模組3400、及邊緣曝光模組3500配置所在之方向將被稱作「第一方向12」,自上方檢視時垂直於該第一方向之方向將被稱作「第二方向14」,且垂直所述第一和第二方向12及14之方向將被稱作「第三方向16」。Referring to the second to fourth embodiments, the coating unit 3000 includes a loading port 3100, an indexing module 3200, a buffer module 3300, a processing module 3400, and an edge exposure module 3500. The loading port 3100, the indexing module 3200, the buffer module 3300, the processing module 3400, and the edge exposure module 3500 are sequentially arranged on a straight line, and the straight line extends in one direction. Hereinafter, the direction in which the load port 3100, the indexing module 3200, the buffer module 3300, the processing module 3400, and the edge exposure module 3500 are disposed will be referred to as a “first direction 12”, which is perpendicular to the view from above. The direction of the first direction will be referred to as "second direction 14", and the direction perpendicular to the first and second directions 12 and 14 will be referred to as "third direction 16".

該裝載口3100包含複數個裝載台3120,於所述裝載台3120上設置收納所述晶圓W之所述容器2000。所述裝載台3120配置在一於該第二方向14上延伸之直線上。在第二圖中,提供四個裝載台3120。The load port 3100 includes a plurality of loading stages 3120, and the container 2000 that houses the wafer W is disposed on the loading table 3120. The loading station 3120 is disposed on a line extending in the second direction 14. In the second figure, four loading stations 3120 are provided.

該分度模組3200在該裝載口3100之裝載台3120上之容器2000與該緩衝模組3300之間傳送該晶圓W。該分度模組3200包含一框架3210、一分度機器人3220及一導軌3230。該框架3210大體上係形成為一空長方體形。該框架3210設置於該裝載口3100與該緩衝模組3300之間。該分度模組3200之框架3210之高度可低於該緩衝模組3300之一框架3310(下 文將對此進行說明)。該分度機器人3220及該導軌3230設置於該框架3210中。該分度機器人3220具有一4軸驅動結構,以便一直接處理該晶圓W之手3221可在該第一、第二及第三方向12、14、16上旋轉及移動。除該手3221之外,該分度機器人3220還包含一臂3222、一支撐物3223及一基座3224。該手3221固定安裝於該臂3222上。該臂3222提供成可擴展、可收縮且可旋轉。該支撐物3223設置成便於其一長度方向在該第三方向16上延伸。該臂3222連接至該支撐物3223,以便可沿該支撐物3223移動。該支撐物3223固定連接至該基座3224。該導軌3230係被提供成便於其一長度方向在該第二方向14上延伸。該基座3224連接至該導軌3230,以便可沿該導軌3230直線移動。儘管未顯示於所述圖式中,但是該框架3210配備一開門器,以用於打開及關閉該容器2000之門。The indexing module 3200 transfers the wafer W between the container 2000 on the loading platform 3120 of the loading port 3100 and the buffer module 3300. The indexing module 3200 includes a frame 3210, an indexing robot 3220, and a guide rail 3230. The frame 3210 is generally formed in the form of an empty rectangular parallelepiped. The frame 3210 is disposed between the load port 3100 and the buffer module 3300. The height of the frame 3210 of the indexing module 3200 can be lower than the frame 3310 of the buffer module 3300 (below This article will explain this). The indexing robot 3220 and the guide rail 3230 are disposed in the frame 3210. The indexing robot 3220 has a 4-axis drive structure such that a hand 3221 directly processing the wafer W can be rotated and moved in the first, second and third directions 12, 14, 16. In addition to the hand 3221, the indexing robot 3220 further includes an arm 3222, a support 3223, and a base 3224. The hand 3221 is fixedly mounted on the arm 3222. The arm 3222 is provided to be expandable, collapsible, and rotatable. The support 3223 is arranged to facilitate its lengthwise extension in the third direction 16. The arm 3222 is coupled to the support 3223 so as to be movable along the support 3223. The support 3223 is fixedly coupled to the base 3224. The rail 3230 is provided to facilitate its lengthwise extension in the second direction 14. The base 3224 is coupled to the rail 3230 so as to be linearly movable along the rail 3230. Although not shown in the drawings, the frame 3210 is equipped with a door opener for opening and closing the door of the container 2000.

參照第三圖,該緩衝模組3300包含一框架3310、一第一緩衝區3320、一第二緩衝區3330、一第一冷卻室3340、一第二冷卻室3350及一緩衝區機器人3360。該框架3310形成為一空長方體形。該框架3310設置於該分度模組3200與該處理模組3400之間。該第一緩衝區3320、該第二緩衝區3330、該第一冷卻室3340、該第二冷卻室3350及該緩衝區機器人3360設置於該框架3310中。該第二冷卻室3350、第二緩衝區3330、第一冷卻室3340及第一緩衝區3320沿該第三方向16向上順序配置。該第一冷卻室3340及該第一緩衝區3320定位於一與該處理模組3400之一第一模組3401(下文將對此進行說明)相同之高度。該第二冷卻室3350及該第二緩衝區3330定位於一與該處理模組3400之一第二模組3402(下文將對此進行說明)相同之高度。該緩衝區機器人3360被定位成在該第二方向14上與該第二緩衝區3330、第二冷卻室3350、第一緩衝區3320及第一冷卻室3340間隔一預定距離。Referring to the third figure, the buffer module 3300 includes a frame 3310, a first buffer 3320, a second buffer 3330, a first cooling chamber 3340, a second cooling chamber 3350, and a buffer robot 3360. The frame 3310 is formed in an empty rectangular parallelepiped shape. The frame 3310 is disposed between the indexing module 3200 and the processing module 3400. The first buffer zone 3320, the second buffer zone 3330, the first cooling chamber 3340, the second cooling chamber 3350, and the buffer robot 3360 are disposed in the frame 3310. The second cooling chamber 3350, the second buffer zone 3330, the first cooling chamber 3340, and the first buffer zone 3320 are arranged in the order of the third direction 16 in the upward direction. The first cooling chamber 3340 and the first buffer zone 3320 are positioned at the same height as the first module 3401 (described below) of the processing module 3400. The second cooling chamber 3350 and the second buffer zone 3330 are positioned at the same height as the second module 3402 (described below) of the processing module 3400. The buffer robot 3360 is positioned to be spaced apart from the second buffer 3330, the second cooling chamber 3350, the first buffer 3320, and the first cooling chamber 3340 by a predetermined distance in the second direction 14.

所述第一和第二緩衝區3320、3330之每一者臨時儲存複數個所述晶圓W。該第二緩衝區3330包含一外殼3331及複數個支撐物3332。所述支撐物3332設置於該外殼3331中,且在該第三方向16上彼此間隔開來。一晶圓W設置於所述支撐物3332之每一者上。該外殼3331配備對應於該分度機器人3220、緩衝區機器人3360、及第二機器人3482之開口(未顯示),以便該分度機器人3220、該緩衝區機器人3360、及該第二模組3402之一第二機器人3482(下文將對此進行說明),可將晶圓運送至該支撐物3332或自該支撐物3332取出晶圓。該第一緩衝區3320具有一類似於該第二緩衝區3330之結構。然而,該第一緩衝區3320之外殼3321配備對應於該緩衝區機器人3360及該第一模組3401上之一第一機器人3432(下文將對此進行說明)之開口。該第一緩衝區3320之所述支撐物3322之數量可與該第二緩衝區3330之所述支撐物3332之數量相同或不同。舉例而言,所述支撐物3332之第二緩衝區3330之數量可大於該第一緩衝區3320之所述支撐物3322之數量。Each of the first and second buffers 3320, 3330 temporarily stores a plurality of the wafers W. The second buffer 3330 includes a housing 3331 and a plurality of supports 3332. The supports 3332 are disposed in the outer casing 3331 and are spaced apart from each other in the third direction 16. A wafer W is disposed on each of the supports 3332. The housing 3331 is provided with openings (not shown) corresponding to the indexing robot 3220, the buffer robot 3360, and the second robot 3482, so that the indexing robot 3220, the buffer robot 3360, and the second module 3402 A second robot 3482 (which will be described below) can transport the wafer to the support 3332 or take the wafer from the support 3332. The first buffer 3320 has a structure similar to the second buffer 3330. However, the outer casing 3321 of the first buffer 3320 is provided with an opening corresponding to the buffer robot 3360 and one of the first robots 3432 (described below) on the first module 3401. The number of the supports 3322 of the first buffer zone 3320 may be the same as or different from the number of the supports 3332 of the second buffer zone 3330. For example, the number of the second buffers 3330 of the support 3332 may be greater than the number of the supports 3322 of the first buffer 3320.

該緩衝區機器人3360在所述第一和第二緩衝區3320及3330之間傳送該晶圓W。該緩衝區機器人3360包含一手3361、一臂3362及一支撐物3363。該手3361固定安裝於該臂3362上。該臂3362被裝配成能夠擴展及收縮,以便該手3361可在該第二方向14上移動。該臂3362連接至該支撐物3363,以便可於該第三方向16上沿該支撐物3363直線移動。該支撐物3363具有一長度,其自一對應於該第二緩衝區3330之位置,延伸至一對應於該第一緩衝區3320之位置。該支撐物3363可進一步延伸至對應於該第二緩衝區3330之位置或對應於該第一緩衝區3320之位置上方。該緩衝區機器人3360可被裝配成具有一2軸驅動結構,以便該手3361僅在該第二及第三方向14、16上移動。The buffer robot 3360 transfers the wafer W between the first and second buffers 3320 and 3330. The buffer robot 3360 includes a hand 3361, an arm 3362, and a support 3363. The hand 3361 is fixedly mounted to the arm 3362. The arm 3362 is configured to expand and contract so that the hand 3361 can move in the second direction 14. The arm 3362 is coupled to the support 3363 so as to be linearly movable along the support 3363 in the third direction 16. The support 3363 has a length that extends from a position corresponding to the second buffer 3330 to a position corresponding to the first buffer 3320. The support 3363 can further extend to a position corresponding to the second buffer 3330 or a position corresponding to the first buffer 3320. The buffer robot 3360 can be assembled to have a 2-axis drive structure such that the hand 3361 moves only in the second and third directions 14, 16.

所述第一和第二冷卻室3340、3350冷卻該晶圓W。該第二冷卻室3350具有一外殼3351及一冷卻板3352。該冷卻板3352具有一於其上設置該晶圓W之頂表面,及一冷卻該晶圓W之冷卻元件3353。該冷卻元件3353可由各種元件形成,諸如冷卻水、一熱電模組,諸如此類。此外,該第二冷卻室3350可配備一提升銷總成(未顯示),其將該晶圓W定位於該冷卻板3352之上。該外殼3351配備有對應於該分度機器人3220及該第二機器人3482之開口(未顯示),以便該分度機器人3220及該第二模組3402之一第二機器人3482(下文將對此進行說明)可將該晶圓W運送至該冷卻板3352之上或自該冷卻板3352取出該晶圓W。此外,該第二冷卻室3350可配備門(未顯示),以用於打開及關閉所述開口。該第一冷卻室3340具有與該第二冷卻室3350相同之結構。The first and second cooling chambers 3340, 3350 cool the wafer W. The second cooling chamber 3350 has a housing 3351 and a cooling plate 3352. The cooling plate 3352 has a top surface on which the wafer W is disposed, and a cooling element 3353 that cools the wafer W. The cooling element 3353 can be formed from various components such as cooling water, a thermoelectric module, and the like. Additionally, the second cooling chamber 3350 can be provided with a lift pin assembly (not shown) that positions the wafer W over the cooling plate 3352. The housing 3351 is equipped with an opening (not shown) corresponding to the indexing robot 3220 and the second robot 3482, so that the indexing robot 3220 and the second module 3402 are one of the second robots 3482 (hereinafter this will be performed) The wafer W can be transported onto or taken out of the cooling plate 3352. Additionally, the second cooling chamber 3350 can be equipped with a door (not shown) for opening and closing the opening. The first cooling chamber 3340 has the same structure as the second cooling chamber 3350.

在該晶圓W被傳送至該曝光前後處理單元4000之前,該處理模組3400執行一所需製程。該處理模組3400大體上係形成為一長方體形。該處理模組3400包含一第一模組3401及一第二模組3402。所述第一和第二模組3401、3402配置在不同層。可提供所述第一和第二模組3401、3402以執行一相同製程。舉例而言,該第一模組3401定位於該第二模組3402上方。The processing module 3400 performs a required process before the wafer W is transferred to the pre- and post-exposure processing unit 4000. The processing module 3400 is generally formed in a rectangular parallelepiped shape. The processing module 3400 includes a first module 3401 and a second module 3402. The first and second modules 3401, 3402 are arranged in different layers. The first and second modules 3401, 3402 can be provided to perform an identical process. For example, the first module 3401 is positioned above the second module 3402.

該第一模組3401包含一光阻劑塗佈室3410、一烘烤室3420及一回流室3430。該光阻劑塗佈室3410、該烘烤室3420及該回流室3430按順序配置於該第二方向14上。因此,該光阻劑塗佈室3410及該烘烤室3420於該第二方向14上彼此間隔開來,而該回流室3430插於其間。複數個所述光阻劑塗佈室3410提供在所述第一及第三方向12、16上。在所述圖式中,例示性地提供六個光阻劑塗佈室3410。複數個所述烘烤室3420提供在所述第一及第三方向12、16之每一者上。在所述圖式中,例示性地提供六個烘烤室3420。然而,可提供六個 或更多烘烤室3420。The first module 3401 includes a photoresist coating chamber 3410, a baking chamber 3420, and a reflow chamber 3430. The photoresist coating chamber 3410, the baking chamber 3420, and the reflow chamber 3430 are disposed in the second direction 14 in this order. Therefore, the photoresist coating chamber 3410 and the baking chamber 3420 are spaced apart from each other in the second direction 14, and the return chamber 3430 is interposed therebetween. A plurality of the photoresist coating chambers 3410 are provided in the first and third directions 12, 16. In the drawings, six photoresist coating chambers 3410 are illustratively provided. A plurality of said baking chambers 3420 are provided on each of said first and third directions 12, 16. In the drawings, six baking chambers 3420 are illustratively provided. However, six are available Or more baking chambers 3420.

該回流室3430與該緩衝模組3300之第一緩衝區3320在該第一方向12上並排定位。該第一機器人3432及該導軌3433設置在該回流室3430中。該回流室3430大體上係形成為一矩形。該第一機器人3432在所述烘烤室3420、所述光阻劑塗佈室3400、該緩衝模組3300之第一緩衝區3320、該第一冷卻室3340、該邊緣曝光模組3500之一第一緩衝區3520(下文將對此進行說明)及該第一冷卻室3540之間傳送該晶圓W。該導軌3433具有一在該第一方向12上延伸之長度方向。該導軌3433在該第一方向12上導引該第一機器人3432之直線運動。該機器人3432具有一手3434、一臂3453、一支撐物3436及一基座3437。該手3434固定安裝於該臂3435上。該臂3435被裝配成能夠擴展及收縮,以便該手3434可在水平方向上移動。該支撐物3436設置成便於其一長度方向在該第三方向16上延伸。該臂3435連接至該支撐物3436,以便能夠在該第三方向16上沿該支撐物3436直線移動。該支撐物3436固定連接至該基座3437且該基座3437連接至該導軌3433,以便能夠沿該導軌3433移動。The return chamber 3430 and the first buffer zone 3320 of the buffer module 3300 are positioned side by side in the first direction 12 . The first robot 3432 and the guide rail 3433 are disposed in the return chamber 3430. The reflow chamber 3430 is generally formed in a rectangular shape. The first robot 3432 is in the baking chamber 3420, the photoresist coating chamber 3400, the first buffer zone 3320 of the buffer module 3300, the first cooling chamber 3340, and one of the edge exposure modules 3500. The first buffer 3520 (which will be described later) and the first cooling chamber 3540 transfer the wafer W. The rail 3433 has a length direction extending in the first direction 12. The guide rail 3433 guides the linear motion of the first robot 3432 in the first direction 12. The robot 3432 has a hand 3434, an arm 3453, a support 3436 and a base 3437. The hand 3434 is fixedly mounted to the arm 3435. The arm 3435 is assembled to expand and contract so that the hand 3434 can move in a horizontal direction. The support 3436 is configured to facilitate its lengthwise extension in the third direction 16. The arm 3435 is coupled to the support 3436 so as to be linearly movable along the support 3436 in the third direction 16. The support 3436 is fixedly coupled to the base 3437 and the base 3437 is coupled to the rail 3433 so as to be movable along the rail 3433.

所有光阻劑塗佈室3410均具有一相同結構。然而,用於各自光阻劑塗佈室3410中之光阻劑的類型可彼此不同。舉例而言,可使用化學增幅型光阻劑作為光阻劑。該光阻劑塗佈室4310在所述晶圓W上塗佈光阻劑。該光阻劑塗佈室3410包含一外殼3411、一支撐板3412及一噴嘴3413。該外殼3411形成為一杯形,其具有一打開之頂端。該支撐板3412定位於該外殼3411中以支撐該晶圓W。該支撐板3412被裝配成便能夠旋轉。該噴嘴3413將光阻劑供應至該支撐板3412上之晶圓W上。該噴嘴3413形成為一圓管形,以便將光阻劑供應至該晶圓W之中心。該噴嘴3413可具有一對應於該晶圓W直徑之 長度,且具有一狹縫型出口。此外,該光阻劑塗佈室3410可更包含一噴嘴3414,以用於供應清潔液體(諸如去離子水),以用於清潔該晶圓W之一表面,於該表面上將塗佈光阻劑。All of the photoresist coating chambers 3410 have the same structure. However, the types of photoresists used in the respective photoresist coating chambers 3410 may be different from each other. For example, a chemically amplified photoresist can be used as the photoresist. The photoresist coating chamber 4310 coats the photoresist on the wafer W. The photoresist coating chamber 3410 includes a housing 3411, a support plate 3412, and a nozzle 3413. The outer casing 3411 is formed in the shape of a cup having an open top end. The support plate 3412 is positioned in the outer casing 3411 to support the wafer W. The support plate 3412 is assembled to be rotatable. The nozzle 3413 supplies a photoresist to the wafer W on the support plate 3412. The nozzle 3413 is formed in a circular tube shape to supply a photoresist to the center of the wafer W. The nozzle 3413 can have a diameter corresponding to the wafer W Length, and has a slit type outlet. In addition, the photoresist coating chamber 3410 may further include a nozzle 3414 for supplying a cleaning liquid (such as deionized water) for cleaning a surface of the wafer W, and coating the surface on the surface. Resistor.

所述烘烤室3420熱處理所述晶圓W。舉例而言,在所述晶圓W上塗佈光阻劑之前,所述烘烤室3420執行一預烘製程,以用於藉由在一預定溫度加熱所述晶圓W而自所述晶圓W之表面移除有機物質或潮濕;且在所述晶圓W上塗佈光阻劑之後,執行一軟烘烤製程。所述烘烤室3420進一步還在各自加熱製程之後執行冷卻製程。該烘烤室3420包含一冷卻板3421或一加熱板3422。該冷卻板3421配備一冷卻元件3423,諸如冷卻水或熱電模組。該加熱板3422配備一加熱元件3424,諸如一電熱線或一熱電模組。該加熱板3422及該冷卻板3421可在各自烘烤室3420中提供。或者,某些烘烤室3420可僅配備該加熱板3422,而其他烘烤室可僅配備該冷卻板3421。The baking chamber 3420 heats the wafer W. For example, before the photoresist is coated on the wafer W, the baking chamber 3420 performs a pre-bake process for heating the wafer W from the wafer by heating at a predetermined temperature. The surface of the circle W is removed from the organic matter or wet; and after the photoresist is coated on the wafer W, a soft baking process is performed. The baking chamber 3420 further performs a cooling process after the respective heating processes. The baking chamber 3420 includes a cooling plate 3421 or a heating plate 3422. The cooling plate 3421 is provided with a cooling element 3423, such as a cooling water or thermoelectric module. The heating plate 3422 is provided with a heating element 3424, such as a heating wire or a thermoelectric module. The heating plate 3422 and the cooling plate 3421 can be provided in respective baking chambers 3420. Alternatively, some of the toasting chambers 3420 may be provided with only the heating plate 3422, while other toasting chambers may be provided with only the cooling plate 3421.

該第二模組3402包含一光阻劑塗佈室3460、一烘烤室3470及一回流室3480。該光阻劑塗佈室3460、該烘烤室3470及該回流室3480具有與該第一模組3401之光阻劑塗佈室3410、該烘烤室3420及該回流室3430相同之結構及配置。此外,該回流室3480具有一第二機器人3482,其具有與該第一模組3401之第一機器人3432相同之結構。該第二機器人3482被裝配成在該光阻劑塗佈室3460、該烘烤室3470、該緩衝模組3300之第二緩衝區3330及第二冷卻室3350、以及該邊緣曝光模組3500之第二緩衝區3530及第二冷卻室3550(下文將對此進行說明)之間傳送該晶圓W。The second module 3402 includes a photoresist coating chamber 3460, a baking chamber 3470, and a reflow chamber 3480. The photoresist coating chamber 3460, the baking chamber 3470, and the reflow chamber 3480 have the same structure as the photoresist coating chamber 3410 of the first module 3401, the baking chamber 3420, and the reflow chamber 3430. Configuration. In addition, the reflow chamber 3480 has a second robot 3482 having the same structure as the first robot 3432 of the first module 3401. The second robot 3482 is assembled in the photoresist coating chamber 3460, the baking chamber 3470, the second buffer zone 3330 and the second cooling chamber 3350 of the buffer module 3300, and the edge exposure module 3500. The wafer W is transferred between the second buffer region 3530 and the second cooling chamber 3550 (which will be described later).

在上述處理模組3400中,所述第一和第二模組3401及3402彼此分離。此外,自上方檢視時,該第一模組3401具有與該第二模組3402相同之結構及配置。In the above processing module 3400, the first and second modules 3401 and 3402 are separated from each other. In addition, the first module 3401 has the same structure and configuration as the second module 3402 when viewed from above.

該邊緣曝光模組3500執行一製程,以用於曝光該晶圓W之一周邊區域。該邊緣曝光模組3500包含一框架3510、一第一緩衝區3520、一第二緩衝區3530、一第一冷卻室3540、一第二冷卻室3550、一邊緣曝光機器人3560、一第一邊緣曝光室3570、及一第二邊緣曝光室3580(設置於第二圖中之第一邊緣曝光室3570之下)。該框架3510形成為一矩形。該邊緣曝光室3540、第一緩衝區3520、第一邊緣曝光室3570、第一冷卻室3540、第二緩衝區3530、第二邊緣曝光室3580及第二冷卻室3550定位於該框架3510內。該第一緩衝區3520、第二邊緣曝光室3570及第一冷卻室3540配置在一對應於該第一模組3401之高度。該第二緩衝區3530、第二邊緣曝光室3580及第二冷卻室3550配置在一對應於該第二模組3402之高度。該第一緩衝區3520、第一冷卻室3540、第二緩衝區3530、第二冷卻室3550自上方沿一在該第三方向16上延伸之直線順序配置。自上方檢視時,該第一緩衝區3520及該第一模組3401之回流室3430沿一在該第一方向12上延伸之直線配置。該第一邊緣曝光室3570在該第二方向14上與該第一緩衝區3520及該第一冷卻室3540間隔一預定距離。該第二邊緣曝光室3580在該第二方向14上與該第二緩衝區3530及該第二冷卻室3550間隔一預定距離。該第二邊緣曝光室3580及該第一邊緣曝光室3570沿一在該第三方向16上延伸之直線配置。The edge exposure module 3500 performs a process for exposing a peripheral region of the wafer W. The edge exposure module 3500 includes a frame 3510, a first buffer 3520, a second buffer 3530, a first cooling chamber 3540, a second cooling chamber 3550, an edge exposure robot 3560, and a first edge exposure. Room 3570, and a second edge exposure chamber 3580 (disposed under the first edge exposure chamber 3570 in the second figure). The frame 3510 is formed in a rectangular shape. The edge exposure chamber 3540, the first buffer zone 3520, the first edge exposure chamber 3570, the first cooling chamber 3540, the second buffer zone 3530, the second edge exposure chamber 3580, and the second cooling chamber 3550 are positioned within the frame 3510. The first buffer 3520, the second edge exposure chamber 3570 and the first cooling chamber 3540 are disposed at a height corresponding to the first module 3401. The second buffer zone 3530, the second edge exposure chamber 3580 and the second cooling chamber 3550 are disposed at a height corresponding to the second module 3402. The first buffer zone 3520, the first cooling chamber 3540, the second buffer zone 3530, and the second cooling chamber 3550 are arranged in order from above in a straight line extending in the third direction 16. The first buffer 3520 and the return chamber 3430 of the first module 3401 are arranged along a straight line extending in the first direction 12 from the top view. The first edge exposure chamber 3570 is spaced apart from the first buffer 3520 and the first cooling chamber 3540 by a predetermined distance in the second direction 14. The second edge exposure chamber 3580 is spaced apart from the second buffer zone 3530 and the second cooling chamber 3550 by a predetermined distance in the second direction 14 . The second edge exposure chamber 3580 and the first edge exposure chamber 3570 are disposed along a straight line extending in the third direction 16.

該邊緣曝光機器人3560在該第一緩衝區3520、該第一邊緣曝光室3570、該第一冷卻室3540、該第二緩衝區3530、該第二邊緣曝光室3580及該第二冷卻室3550之間傳送該晶圓W。該邊緣曝光機器人3560定位於該第一邊緣曝光室3570與該第一緩衝區3520之間。該邊緣曝光機器人3560可具有與該緩衝區機器人3360類似之結構。The edge exposure robot 3560 is in the first buffer zone 3520, the first edge exposure chamber 3570, the first cooling chamber 3540, the second buffer zone 3530, the second edge exposure chamber 3580, and the second cooling chamber 3550. The wafer W is transferred between. The edge exposure robot 3560 is positioned between the first edge exposure chamber 3570 and the first buffer 3520. The edge exposure robot 3560 can have a structure similar to the buffer robot 3360.

該第一緩衝區3520、該第一冷卻室3540及該第一邊緣曝 光室3570對已在該第一模組3401中處理之所述晶圓W執行以下製程。該第一緩衝區3520及第二緩衝區3560具有與該緩衝模組3300之第一緩衝區3320相同之結構。該第一冷卻室3540冷卻已在該第一模組3401中處理之所述晶圓W。該第一冷卻室3540具有與該緩衝模組3300之第一冷卻室3340類似之結構。該第一邊緣曝光室3570對已在該第一冷卻室3540中冷卻之所述晶圓W之邊緣執行一曝光製程。在已在該第一邊緣曝光室3570中處理之所述晶圓W被傳送至該第一模組3401之前,該第一緩衝區3520臨時儲存所述晶圓W。The first buffer zone 3520, the first cooling chamber 3540, and the first edge exposure The light chamber 3570 performs the following process on the wafer W that has been processed in the first module 3401. The first buffer 3520 and the second buffer 3560 have the same structure as the first buffer 3320 of the buffer module 3300. The first cooling chamber 3540 cools the wafer W that has been processed in the first module 3401. The first cooling chamber 3540 has a structure similar to the first cooling chamber 3340 of the buffer module 3300. The first edge exposure chamber 3570 performs an exposure process on the edge of the wafer W that has been cooled in the first cooling chamber 3540. The first buffer 3520 temporarily stores the wafer W before the wafer W that has been processed in the first edge exposure chamber 3570 is transferred to the first module 3401.

該第二緩衝區3530、第二冷卻室3550及第二邊緣曝光室3580對已在該第二模組3402中處理之所述晶圓W執行以下製程。該第二冷卻室3550冷卻已在該第二模組3402中處理之所述晶圓W。該第二冷卻室3550具有與該緩衝模組3300之第二冷卻室3350類似之結構。該第二邊緣曝光室3580對已在該第二冷卻室3550中處理所述晶圓W之邊緣執行一曝光製程。在已在該第二邊緣曝光室3580中處理之所述晶圓W被傳送至該第二模組3402之前,該第二緩衝區3530臨時儲存所述晶圓W。The second buffer 3530, the second cooling chamber 3550, and the second edge exposure chamber 3580 perform the following processes on the wafer W that has been processed in the second module 3402. The second cooling chamber 3550 cools the wafer W that has been processed in the second module 3402. The second cooling chamber 3550 has a structure similar to the second cooling chamber 3350 of the buffer module 3300. The second edge exposure chamber 3580 performs an exposure process on the edge of the wafer W that has been processed in the second cooling chamber 3550. The second buffer 3530 temporarily stores the wafer W before the wafer W that has been processed in the second edge exposure chamber 3580 is transferred to the second module 3402.

以下將參考第五A圖及第五B圖說明藉由該塗佈單元3000執行之塗佈製程。第五A圖及第五B圖係說明根據一具體實施例在該塗佈單元3000中對晶圓執行之製程之流程圖。The coating process performed by the coating unit 3000 will be described below with reference to FIGS. 5A and 5B. 5A and 5B are flow diagrams illustrating a process performed on a wafer in the coating unit 3000 in accordance with an embodiment.

收納所述晶圓W之容器2000設置於該裝載口3100之裝載台3120上(S112)。該容器2000之門藉由該開門器打開。該分度機器人3220自該容器2000取出該晶圓W並將該晶圓W運送至該第二緩衝區3330(S112)。該晶圓W被傳送至所述第一和第二模組3401、3402之一者。The container 2000 accommodating the wafer W is placed on the loading table 3120 of the loading port 3100 (S112). The door of the container 2000 is opened by the door opener. The indexing robot 3220 takes out the wafer W from the container 2000 and transports the wafer W to the second buffer area 3330 (S112). The wafer W is transferred to one of the first and second modules 3401, 3402.

當選定在該第一模組3401中處理該晶圓W時,該緩衝區機器人3360將儲存於該第二緩衝區3330中之晶圓W運送至該第一緩衝區3320(S120)。該第一機器人3432自該第一緩衝 區3320取出該晶圓W,並將該晶圓W運送至該烘烤室3420(S112)。該烘烤室3420順序執行預烘製程及冷卻製程(S124)。該第一機器人3432自該烘烤室3420取出該晶圓W,並將該晶圓W運送至該光阻劑塗佈室3410(S126)。該光阻劑塗佈室3410將光阻劑塗佈於該晶圓W之上(S128)。下一步,該第一機器人3432將該晶圓W自該光阻劑塗佈室3410運送至該烘烤室3420(S130)。該烘烤室3420對該晶圓W執行軟烘烤製程(S132)。When the wafer W is selected to be processed in the first module 3401, the buffer robot 3360 transports the wafer W stored in the second buffer 3330 to the first buffer 3320 (S120). The first robot 3432 from the first buffer The wafer 320 takes out the wafer W and transports the wafer W to the baking chamber 3420 (S112). The baking chamber 3420 sequentially performs a pre-bake process and a cooling process (S124). The first robot 3432 takes out the wafer W from the baking chamber 3420, and transports the wafer W to the photoresist coating chamber 3410 (S126). The photoresist coating chamber 3410 applies a photoresist onto the wafer W (S128). Next, the first robot 3432 transports the wafer W from the photoresist coating chamber 3410 to the baking chamber 3420 (S130). The baking chamber 3420 performs a soft baking process on the wafer W (S132).

該第一機器人3432自該烘烤室3420取出該晶圓W,並將該晶圓W運送至該邊緣曝光模組3500之第一冷卻室3540(S134)。該第一冷卻室3540對該晶圓W執行冷卻製程(S136)。該冷卻製程選擇性地執行於該烘烤室3420中,且該晶圓W可自該烘烤室3420直接傳送至該第一緩衝區3520。已在該第一冷卻室3540中處理之晶圓W,藉由該邊緣曝光機器人3560傳送至該第一邊緣曝光室3570(S138)。已在該第一冷卻室3540中處理之晶圓W,可藉由該邊緣曝光機器人3560運送至該第一緩衝區3520並臨時儲存於該第一緩衝區3520中,之後該晶圓W可藉由該邊緣曝光機器人3560運送至該第一邊緣曝光室3570。該第一邊緣曝光室3570執行一製程以用於曝光該晶圓W之邊緣(S140)。已在該第一邊緣曝光室3570中處理之晶圓W,藉由該邊緣曝光機器人3560運送至該第一緩衝區3520(S142)。The first robot 3432 takes out the wafer W from the baking chamber 3420, and transports the wafer W to the first cooling chamber 3540 of the edge exposure module 3500 (S134). The first cooling chamber 3540 performs a cooling process on the wafer W (S136). The cooling process is selectively performed in the baking chamber 3420, and the wafer W can be directly transferred from the baking chamber 3420 to the first buffer zone 3520. The wafer W that has been processed in the first cooling chamber 3540 is transferred to the first edge exposure chamber 3570 by the edge exposure robot 3560 (S138). The wafer W that has been processed in the first cooling chamber 3540 can be transported to the first buffer 3520 by the edge exposure robot 3560 and temporarily stored in the first buffer 3520, after which the wafer W can be borrowed. The edge exposure robot 3560 is transported to the first edge exposure chamber 3570. The first edge exposure chamber 3570 performs a process for exposing the edge of the wafer W (S140). The wafer W that has been processed in the first edge exposure chamber 3570 is transported to the first buffer 3520 by the edge exposure robot 3560 (S142).

該第一機器人3432將該晶圓W自該第一緩衝區3520運送至該烘烤室3420(S144)。該烘烤室3420執行一製程以用於加熱該晶圓W(S146)。該第一機器人3432將該晶圓W自該烘烤室3420運送至該緩衝模組3300之第一冷卻室3340(S148)。該第一冷卻室3340執行一製程以用於冷卻該晶圓W(S150)。該分度機器人3220將該晶圓W自該第一冷卻室3340運送至 該容器2000(S152)。The first robot 3432 transports the wafer W from the first buffer zone 3520 to the baking chamber 3420 (S144). The baking chamber 3420 performs a process for heating the wafer W (S146). The first robot 3432 transports the wafer W from the baking chamber 3420 to the first cooling chamber 3340 of the buffer module 3300 (S148). The first cooling chamber 3340 performs a process for cooling the wafer W (S150). The indexing robot 3220 transports the wafer W from the first cooling chamber 3340 to The container 2000 (S152).

當選定在該第二模組3402中處理該晶圓W時,該第二機器人3482自該第二緩衝區3330取出該晶圓W,並將該晶圓W運送至該第二模組3402之烘烤室3470(S160)。該烘烤室3470順序執行預烘製程及冷卻製程(S162)。該第二機器人3482自該烘烤室3470取出該晶圓W,並將該晶圓W運送至該光阻劑塗佈室3460(S164)。在該光阻劑塗佈室3460中,光阻劑被塗佈在該晶圓W上(S166)。下一步,該第二機器人3482將該晶圓W自該光阻劑塗佈室3460運送至該烘烤室3470(S168)。該烘烤室3470對該晶圓W執行軟烘烤製程(S170)。When the wafer W is selected to be processed in the second module 3402, the second robot 3482 extracts the wafer W from the second buffer 3330, and transports the wafer W to the second module 3402. Baking chamber 3470 (S160). The baking chamber 3470 sequentially performs a pre-bake process and a cooling process (S162). The second robot 3482 takes out the wafer W from the baking chamber 3470, and transports the wafer W to the photoresist coating chamber 3460 (S164). In the photoresist coating chamber 3460, a photoresist is coated on the wafer W (S166). Next, the second robot 3482 transports the wafer W from the photoresist coating chamber 3460 to the baking chamber 3470 (S168). The baking chamber 3470 performs a soft baking process on the wafer W (S170).

該第二機器人3482自該烘烤室3470取出該晶圓W,並將該晶圓W運送至該邊緣曝光模組3500之第二冷卻室3550(S172)。該第二冷卻室3550執行一製程以用於冷卻該晶圓W。或者,該冷卻製程可執行於該烘烤室3470中,且該晶圓W可直接自該烘烤室3470運送至該第二緩衝區3530。已在該第二冷卻室3580中處理之晶圓W,藉由該邊緣曝光機器人3560運送至該第二邊緣曝光室3580(S174)。已在該第二冷卻室3550中處理之晶圓W,可藉由該邊緣曝光機器人3560運送至該第二緩衝區3530並臨時儲存於該第二緩衝區3530中,之後該晶圓W可藉由該邊緣曝光機器人3560運送至該第二邊緣曝光室3580(S176)。該第二邊緣曝光室3580執行一製程以用於曝光該晶圓W之邊緣(S178)。已在該第二邊緣曝光室3580中處理之晶圓W,藉由該邊緣曝光機器人3560運送至該第二緩衝區3530(S180)。The second robot 3482 extracts the wafer W from the baking chamber 3470, and transports the wafer W to the second cooling chamber 3550 of the edge exposure module 3500 (S172). The second cooling chamber 3550 performs a process for cooling the wafer W. Alternatively, the cooling process can be performed in the baking chamber 3470, and the wafer W can be transported directly from the baking chamber 3470 to the second buffer zone 3530. The wafer W that has been processed in the second cooling chamber 3580 is transported to the second edge exposure chamber 3580 by the edge exposure robot 3560 (S174). The wafer W that has been processed in the second cooling chamber 3550 can be transported to the second buffer 3530 by the edge exposure robot 3560 and temporarily stored in the second buffer 3530, after which the wafer W can be borrowed. The edge exposure robot 3560 is transported to the second edge exposure chamber 3580 (S176). The second edge exposure chamber 3580 performs a process for exposing the edge of the wafer W (S178). The wafer W that has been processed in the second edge exposure chamber 3580 is transported to the second buffer 3530 by the edge exposure robot 3560 (S180).

該第二機器人3482將該晶圓W自該第二緩衝區3530運送至該烘烤室3470(S182)。該烘烤室3470執行一製程以用於加熱該晶圓W(S184)。該第二機器人3482將該晶圓W自該烘烤室3470運送至該緩衝模組3300之第二冷卻室3350(S186)。 該第二冷卻室3350執行一製程以用於冷卻該晶圓W(S188)。該分度機器人3220將該晶圓W自該第二冷卻室3350運送至該容器2000(S190)。The second robot 3482 transports the wafer W from the second buffer zone 3530 to the baking chamber 3470 (S182). The baking chamber 3470 performs a process for heating the wafer W (S184). The second robot 3482 transports the wafer W from the baking chamber 3470 to the second cooling chamber 3350 of the buffer module 3300 (S186). The second cooling chamber 3350 performs a process for cooling the wafer W (S188). The indexing robot 3220 transports the wafer W from the second cooling chamber 3350 to the container 2000 (S190).

以下將說明上述塗佈單元3000之各種修改實例。Various modified examples of the above coating unit 3000 will be described below.

該處理模組3400可僅包含一模組,而不是設置於不同層之所述第一和第二模組3401及3402。The processing module 3400 may include only one module instead of the first and second modules 3401 and 3402 disposed in different layers.

此外,在該分度模組3200中,複數個第一冷卻室3340及複數個第二冷卻室3350可相互堆疊。此外,在該邊緣曝光模組3500中,可提供複數個第一冷卻室3540及複數個邊緣曝光室3570。在該邊緣曝光模組3500中,亦可提供複數個第二冷卻室3550及複數個第二邊緣曝光室3580。In addition, in the indexing module 3200, a plurality of first cooling chambers 3340 and a plurality of second cooling chambers 3350 may be stacked on each other. In addition, in the edge exposure module 3500, a plurality of first cooling chambers 3540 and a plurality of edge exposure chambers 3570 can be provided. In the edge exposure module 3500, a plurality of second cooling chambers 3550 and a plurality of second edge exposure chambers 3580 may also be provided.

此外,在該緩衝模組3300中,可不提供所述第一和第二冷卻室3340及3350。在此情況下,該晶圓W可藉由該第一機器人3432自該第一模組3401直接傳送至該第一緩衝區3320,且該分度機器人3220可將儲存於該第一緩衝區3320中之所述晶圓W運送至該容器2000。此外,該晶圓W可藉由該第二機器人3482自該第二模組3402直接傳送至該第二緩衝區3330,且該分度機器人3220可將儲存於該第二緩衝區3330中之所述晶圓W運送至該容器2000。Further, in the buffer module 3300, the first and second cooling chambers 3340 and 3350 may not be provided. In this case, the wafer W can be directly transferred from the first module 3401 to the first buffer 3320 by the first robot 3432, and the indexing robot 3220 can be stored in the first buffer 3320. The wafer W is transported to the container 2000. In addition, the wafer W can be directly transferred from the second module 3402 to the second buffer 3330 by the second robot 3482, and the indexing robot 3220 can store the second buffer 3330. The wafer W is transported to the container 2000.

此外,在該緩衝模組3300中,可交換該第一緩衝區3320及該第一冷卻室3340之位置。在該緩衝模組3300中,亦可交換該第二緩衝區3330及該第二冷卻室3350之位置。In addition, in the buffer module 3300, the positions of the first buffer area 3320 and the first cooling chamber 3340 can be exchanged. In the buffer module 3300, the positions of the second buffer zone 3330 and the second cooling chamber 3350 may also be exchanged.

此外,該緩衝模組3300可具有與該處理模組3400相同之高度。在此情況下,該分度機器人3220可將所述晶圓W直接運送至該第一緩衝區3320。In addition, the buffer module 3300 can have the same height as the processing module 3400. In this case, the indexing robot 3220 can transport the wafer W directly to the first buffer zone 3320.

此外,在該邊緣曝光模組3500中,可不提供該第一冷卻室3540及該第二冷卻室3550。在此情況下,已在該第一模組3401中處理之晶圓W藉由該第一機器人3432直接運送至該第 一緩衝區3520。此外,已在該第二模組3402中處理之晶圓W藉由該第二機器人3482直接運送至該第二緩衝區3530。In addition, in the edge exposure module 3500, the first cooling chamber 3540 and the second cooling chamber 3550 may not be provided. In this case, the wafer W that has been processed in the first module 3401 is directly transported to the first robot by the first robot 3432. A buffer 3520. In addition, the wafer W that has been processed in the second module 3402 is directly transported to the second buffer 3530 by the second robot 3482.

此外,在該邊緣曝光模組3500中,可交換該第一冷卻室3540及該第一緩衝區3520之位置,且可交換該第二冷卻室3550及該第二緩衝區3530之位置。In addition, in the edge exposure module 3500, the positions of the first cooling chamber 3540 and the first buffer 3520 can be exchanged, and the positions of the second cooling chamber 3550 and the second buffer 3530 can be exchanged.

此外,該邊緣曝光模組3500可包含一上機器人(未顯示),其用於在該第一邊緣曝光室3570、第一緩衝區3520及第一冷卻室3540之間傳送該晶圓W;及一下機器人(未顯示),其用於在該第二邊緣曝光室3580、第二緩衝區3530及第二冷卻室3550之間傳送該晶圓W,而不是包含該邊緣曝光機器人3560。In addition, the edge exposure module 3500 can include an upper robot (not shown) for transferring the wafer W between the first edge exposure chamber 3570, the first buffer 3520, and the first cooling chamber 3540; A robot (not shown) for transferring the wafer W between the second edge exposure chamber 3580, the second buffer 3530, and the second cooling chamber 3550, instead of including the edge exposure robot 3560.

此外,除上述製程之外,該處理模組3400可執行其他製程。Moreover, in addition to the processes described above, the processing module 3400 can perform other processes.

(曝光前後處理單元)(pre-exposure processing unit)

第六圖至第八圖係該曝光前後處理單元4000之概略示意視圖。即,第六圖係該曝光前後處理單元4000自上方檢視時之視圖,第七圖係第六圖之曝光前後處理單元4000在一方向「C」上檢視時之視圖,且第八圖係第六圖之曝光前後處理單元4000在一方向「D」上檢視時之視圖。6 to 8 are schematic schematic views of the pre-exposure processing unit 4000. That is, the sixth figure is a view when the pre-exposure processing unit 4000 is viewed from above, and the seventh figure is a view when the pre-exposure processing unit 4000 of the sixth figure is viewed in the direction "C", and the eighth picture is the The view of the six-figure before and after the processing unit 4000 is viewed in the direction "D".

參照第六圖至第八圖,該曝光前後處理單元4000包含一裝載口4100、一分度模組4200、一緩衝模組4300、一處理模組4400及一介面模組4500。該曝光前後處理單元4000與該曝光單元9000連接成一直線。該曝光單元9000沿一在該第一方向12上延伸之直線連接至該介面模組4500。舉例而言,該曝光單元9000執行一使用一液體中曝光微影術技術之製程。此外,該曝光單元9000使用一諸如KrF激生分子雷射或ArF激生分子雷射之遠紅外輻射光源,來執行該曝光製程。Referring to the sixth to eighth embodiments, the pre- and post-exposure processing unit 4000 includes a loading port 4100, an indexing module 4200, a buffer module 4300, a processing module 4400, and an interface module 4500. The pre- and post-exposure processing unit 4000 is connected in line with the exposure unit 9000. The exposure unit 9000 is coupled to the interface module 4500 along a line extending in the first direction 12. For example, the exposure unit 9000 performs a process that uses a liquid exposure lithography technique. Further, the exposure unit 9000 performs the exposure process using a far-infrared radiation source such as a KrF-activated molecular laser or an ArF-activated molecular laser.

該裝載口4100包含一裝載台4120,該裝載台4120上設 置收納所述晶圓W之容器2000。在一在該第二方向14上延伸之直線上,提供及配置複數個該裝載台4120。在第六圖中,提供四個裝載台4120。The loading port 4100 includes a loading platform 4120, and the loading station 4120 is provided A container 2000 for accommodating the wafer W is placed. A plurality of the loading stations 4120 are provided and arranged on a line extending in the second direction 14. In the sixth figure, four loading stations 4120 are provided.

該分度模組4200在該裝載口4100與該裝載台4120上之容器2000之間傳送所述晶圓W。該分度模組4200包含一框架4210、一分度機器人4220及一導軌4230。該框架4210大體上係形成為一空長方體形。該框架4210設置於該裝載口4100與該緩衝模組4300之間。該分度模組4200之框架4210之高度可低於該緩衝模組4300之一框架4310(下文將對此進行說明)。該分度機器人4220及該導軌4230設置於該框架4210中。該分度機器人4220具有一4軸驅動結構,以便一直接處理該晶圓W之手4221可在該第一、第二及第三方向12、14、16上移動,且可在一水平平面上旋轉。除該手4221之外,該分度機器人4220還包含一臂4222、一支撐物4223及一基座4224。該手4221固定安裝於該臂4222上。該臂4222提供成可擴展、可收縮,且可旋轉。該支撐物4223設置成便於其一長度方向在該第三方向16上延伸。該臂4222連接至該支撐物4223,以便可於該第三方向16上沿該支撐物4223直線移動。該導軌4230提供成便於其一長度方向在該第二方向14上延伸。該支撐物4223固定連接至該基座4224。該基座4224連接至該導軌4230以便可沿該導軌4230直線移動。該框架4210配備一開門器(未顯示),以用於打開及關閉該容器2000之門。The indexing module 4200 transfers the wafer W between the loading port 4100 and the container 2000 on the loading table 4120. The indexing module 4200 includes a frame 4210, an indexing robot 4220, and a guide rail 4230. The frame 4210 is generally formed in the form of an empty rectangular parallelepiped. The frame 4210 is disposed between the loading port 4100 and the buffer module 4300. The height of the frame 4210 of the indexing module 4200 can be lower than one of the frames 4310 of the buffer module 4300 (which will be described below). The indexing robot 4220 and the guide rail 4230 are disposed in the frame 4210. The indexing robot 4220 has a 4-axis driving structure so that a hand 4221 directly processing the wafer W can move in the first, second and third directions 12, 14, 16 and can be in a horizontal plane. Rotate. In addition to the hand 4221, the indexing robot 4220 further includes an arm 4222, a support 4223, and a base 4224. The hand 4221 is fixedly mounted on the arm 4222. The arm 4222 is provided to be expandable, collapsible, and rotatable. The support 4223 is configured to facilitate its lengthwise extension in the third direction 16. The arm 4222 is coupled to the support 4223 so as to be linearly movable along the support 4223 in the third direction 16. The rail 4230 is provided to facilitate its lengthwise extension in the second direction 14. The support 4223 is fixedly coupled to the base 4224. The base 4224 is coupled to the rail 4230 so as to be linearly movable along the rail 4230. The frame 4210 is equipped with a door opener (not shown) for opening and closing the door of the container 2000.

該緩衝模組4300包含一框架4310、一第一緩衝區4320、一第二緩衝區4330、一冷卻室4340及一緩衝區機器人4350。該框架4310形成為一空長方體形。該框架4310設置於該分度模組4200與該處理模組4400之間。該第一緩衝區4320、該第二緩衝區4330、該冷卻室4340、及該緩衝區機器人4350設置於該框架4310中。該第二緩衝區4330、冷卻室4340及第 一緩衝區4320沿該第三方向16向上順序配置。該第一緩衝區4320定位於與該處理模組4400之一第一模組4401(下文將對此進行說明)相同之高度。該第二緩衝區4330及該冷卻室4340定位於與該處理模組4450之一第二模組4402(下文將對此進行說明)相同之高度。該緩衝區機器人4350被定位成在該第二方向14上與該第二緩衝區4330、冷卻室4340及第一緩衝區4320間隔一預定距離。The buffer module 4300 includes a frame 4310, a first buffer 4320, a second buffer 4330, a cooling chamber 4340, and a buffer robot 4350. The frame 4310 is formed in an empty rectangular parallelepiped shape. The frame 4310 is disposed between the indexing module 4200 and the processing module 4400. The first buffer 4320, the second buffer 4330, the cooling chamber 4340, and the buffer robot 4350 are disposed in the frame 4310. The second buffer 4330, the cooling chamber 4340, and the A buffer 4320 is sequentially arranged in the third direction 16 in the upward direction. The first buffer 4320 is positioned at the same height as the first module 4401 (described below) of the processing module 4400. The second buffer 4330 and the cooling chamber 4340 are positioned at the same height as the second module 4402 (described below) of the processing module 4450. The buffer robot 4350 is positioned to be spaced apart from the second buffer 4330, the cooling chamber 4340, and the first buffer 4320 by a predetermined distance in the second direction 14.

所述第一和第二緩衝區4320、4330臨時儲存複數個所述晶圓W。該第二緩衝區4330包含一外殼4331及複數個支撐物4332。所述支撐物4332設置於該外殼4331中,並在該第三方向16上彼此間隔開來。一晶圓W設置於所述支撐物4332之每一者上。該外殼4331配備對應於該分度機器人4220及緩衝區機器人4350之開口(未顯示),以便該分度機器人4220及緩衝區機器人4360可將晶圓運送至該支撐物4332或自該支撐物4332取出晶圓。該第一緩衝區4320具有一類似於該第二緩衝區4330之結構。然而,該第一緩衝區4320之外殼4321配備對應於該緩衝區機器人4350及該第一模組4401之一第一機器人4432(下文將對此進行說明)之開口。該第一緩衝區4320之所述支撐物4322之數量可與該第二緩衝區4330之所述支撐物4332之數量相同或不同。The first and second buffers 4320, 4330 temporarily store a plurality of the wafers W. The second buffer 4330 includes a housing 4331 and a plurality of supports 4332. The supports 4332 are disposed in the outer casing 4331 and are spaced apart from each other in the third direction 16. A wafer W is disposed on each of the supports 4332. The housing 4331 is provided with an opening (not shown) corresponding to the indexing robot 4220 and the buffer robot 4350, so that the indexing robot 4220 and the buffer robot 4360 can transport the wafer to the support 4332 or from the support 4332. Take out the wafer. The first buffer 4320 has a structure similar to the second buffer 4330. However, the outer casing 4321 of the first buffer 4320 is provided with an opening corresponding to the buffer robot 4350 and one of the first robots 4432 of the first module 4401 (which will be described later). The number of the supports 4322 of the first buffer 4320 may be the same as or different from the number of the supports 4332 of the second buffer 4330.

該緩衝區機器人4350在所述第一和第二緩衝區4320、4330之間傳送該晶圓W。該緩衝區機器人4350包含一手4361、一臂4362及一支撐物4363。該手4361固定安裝於該臂4362上。該臂4362被裝配成能夠擴展及收縮,以便該手4361可在水平方向上移動。該臂4362連接至該支撐物4363,以便可於該第三方向16上沿該支撐物4363直線移動。該支撐物4363具有一長度,其自一對應於該第二緩衝區4330之位置,延伸至一對應於該第一緩衝區4320之位置。該支撐物4363 可進一步延伸至對應於該第二緩衝區4330之位置或對應於該第一緩衝區4320之位置上方。該緩衝區機器人4350可被裝配成具有一2軸驅動結構,以便該手3361僅在該第二及第三方向14、16上移動。該冷卻室4340冷卻該晶圓W。該冷卻室4340包含一外殼4341及一冷卻板4342。該冷卻板4342具有一於其上設置該晶圓W頂表面;及一用於冷卻該晶圓W的冷卻元件4343。可使用各種元件(諸如冷卻水、一熱電模組,或諸如此類)作為該冷卻元件4343。此外,該冷卻室4340可配備一提升銷總成(未顯示),以用於將該晶圓W定位於該冷卻板4342之上。該外殼4341配備(未顯示)對應於該分度機器人4220及該緩衝區機器人4350之開口,以便該分度機器人4220及該第二模組4402之一第二機器人4482(下文將對此進行說明)可將該晶圓W運送至該冷卻板4342之上或自該冷卻板4342取出該晶圓W。此外,該冷卻室4340可配備門(未顯示),以用於打開及關閉所述開口。The buffer robot 4350 transfers the wafer W between the first and second buffers 4320, 4330. The buffer robot 4350 includes a hand 4261, an arm 4362, and a support 4363. The hand 4361 is fixedly mounted to the arm 4362. The arm 4362 is assembled to expand and contract so that the hand 4361 can move in a horizontal direction. The arm 4362 is coupled to the support 4363 so as to be linearly movable along the support 4363 in the third direction 16. The support 4363 has a length that extends from a position corresponding to the second buffer 4330 to a position corresponding to the first buffer 4320. The support 4363 The position may correspond to a position corresponding to the second buffer 4330 or a position corresponding to the first buffer 4320. The buffer robot 4350 can be assembled to have a 2-axis drive structure such that the hand 3361 moves only in the second and third directions 14, 16. The cooling chamber 4340 cools the wafer W. The cooling chamber 4340 includes a housing 4341 and a cooling plate 4342. The cooling plate 4342 has a top surface on which the wafer W is disposed; and a cooling element 4343 for cooling the wafer W. As the cooling element 4343, various elements such as cooling water, a thermoelectric module, or the like can be used. Additionally, the cooling chamber 4340 can be provided with a lift pin assembly (not shown) for positioning the wafer W over the cooling plate 4342. The housing 4341 is provided (not shown) corresponding to the opening of the indexing robot 4220 and the buffer robot 4350 for the indexing robot 4220 and the second robot 4482 of the second module 4402 (this will be explained below) The wafer W can be transported onto or taken out of the cooling plate 4342. Additionally, the cooling chamber 4340 can be equipped with a door (not shown) for opening and closing the opening.

該處理模組4400包含一第一模組4401及一第二模組4402。該第一模組4401執行一製程以用於在該曝光製程之前處理該晶圓W,該第二模組4402執行一製程以用於在該曝光製程之後處理該晶圓W。所述第一和第二模組4401、4402設置於不同層。舉例而言,該第一模組4401定位於該第二模組4402之上方。該第一模組4401包含一保護層塗佈室4410、一烘烤室4420及一回流室4430。該保護層塗佈室4410、烘烤室4420、及回流室4430按順序配置於該第二方向上14。因此,該保護層塗佈室4410及該烘烤室4420彼此間隔開來,而該回流室4430插於其間。複數個該保護層塗佈室4410在該第三方向16上被提供及配置在不同層。或者,可於所述第一及第三方向12、16上提供複數個所述保護層塗佈室4410。在該第三方向16上,在不同層提供複數個所述烘烤室4420。或者,所 述烘烤室4420可配置於所述第一及第三方向12、16上。The processing module 4400 includes a first module 4401 and a second module 4402. The first module 4401 performs a process for processing the wafer W prior to the exposure process, and the second module 4402 performs a process for processing the wafer W after the exposure process. The first and second modules 4401, 4402 are disposed in different layers. For example, the first module 4401 is positioned above the second module 4402. The first module 4401 includes a protective layer coating chamber 4410, a baking chamber 4420, and a reflow chamber 4430. The protective layer coating chamber 4410, the baking chamber 4420, and the return chamber 4430 are disposed in this second direction 14 in this order. Therefore, the protective layer coating chamber 4410 and the baking chamber 4420 are spaced apart from each other with the return chamber 4430 interposed therebetween. A plurality of the protective layer coating chambers 4410 are provided and disposed in different layers in the third direction 16. Alternatively, a plurality of the protective layer coating chambers 4410 may be provided in the first and third directions 12, 16. In the third direction 16, a plurality of said baking chambers 4420 are provided in different layers. Or, The baking chamber 4420 can be disposed in the first and third directions 12, 16.

該回流室4430在該第一方向12上與該緩衝模組4300之第一緩衝區4320並排配置。該第一機器人4432定位於該回流室4430內。該回流室4430大體上形成為一方形或一矩形。該第一機器人4432在所述烘烤室4420、保護層塗佈室4410、該緩衝模組4300之第一緩衝區4320、及該介面模組4500之一第一緩衝區4520(下文將對此進行說明)之間傳送所述晶圓W。該第一機器人4432包含一手4433、一臂4434及一支撐物4435。該手4433固定安裝於該臂4434上。該臂4434構造成能夠擴充、收縮及旋轉。該臂4434連接至該支撐物4435,以便可於該第三方向16上沿該支撐物4435直線移動。The reflow chamber 4430 is arranged side by side with the first buffer 4320 of the buffer module 4300 in the first direction 12 . The first robot 4432 is positioned within the reflow chamber 4430. The reflow chamber 4430 is generally formed as a square or a rectangle. The first robot 4432 is in the baking chamber 4420, the protective layer coating chamber 4410, the first buffer 4320 of the buffer module 4300, and the first buffer 4520 of the interface module 4500 (hereinafter, this will be The wafer W is transferred between the descriptions. The first robot 4432 includes a hand 4433, an arm 4434, and a support 4435. The hand 4433 is fixedly mounted to the arm 4434. The arm 4434 is configured to expand, contract, and rotate. The arm 4444 is coupled to the support 4435 so as to be linearly movable along the support 4435 in the third direction 16.

該保護層塗佈室4410在該晶圓W上塗佈一保護層,以在該液體中曝光微影術過程中保護該光阻劑層。該保護層塗佈室4410包含一外殼4411、一支撐板4412及一噴嘴4413。該外殼4411形成為一具有一打開頂端之杯形形狀。該支撐板4412定位於該外殼4411內且支撐該晶圓W。該支撐板4412被可旋轉地提供。該噴嘴4413供應保護液,以便在該支撐板4412上之晶圓W上形成該保護層。該噴嘴4413形成為一圓管形,且將該保護液供應至該晶圓W之中心。或者,該噴嘴4413可具有一等於該晶圓W直徑之長度,且可在其一出口配備一狹縫。在此情況下,該支撐板4412可在一固定狀態下被提供。該保護液包含一發泡材料。該保護液可為一與該光阻劑親和力較低且不易燃之材料。舉例而言,該保護液可包含一含氟溶劑。藉由該保護層塗佈室4410塗佈該保護液之過程,起始於該晶圓W之中央區域,同時該支撐板4412上之晶圓W旋轉。The protective layer coating chamber 4410 coats a protective layer on the wafer W to protect the photoresist layer during exposure to lithography in the liquid. The protective layer coating chamber 4410 includes a housing 4411, a support plate 4412, and a nozzle 4413. The outer casing 4411 is formed in a cup shape having an open top end. The support plate 4412 is positioned within the outer casing 4411 and supports the wafer W. The support plate 4412 is rotatably provided. The nozzle 4413 supplies a protective liquid to form the protective layer on the wafer W on the support plate 4412. The nozzle 4413 is formed in a circular tube shape, and supplies the protection liquid to the center of the wafer W. Alternatively, the nozzle 4413 can have a length equal to the diameter of the wafer W and can be provided with a slit at one of its outlets. In this case, the support plate 4412 can be provided in a fixed state. The protective liquid contains a foamed material. The protective liquid can be a material that has low affinity with the photoresist and is non-flammable. For example, the protective solution may comprise a fluorochemical solvent. The process of applying the protective liquid by the protective layer coating chamber 4410 starts at a central region of the wafer W while the wafer W on the support plate 4412 rotates.

該烘烤室4420熱處理於其上塗佈保護層之晶圓W。該烘烤室4420具有一冷卻板4421及一加熱板4422之至少之一者。該冷卻板4421配備一冷卻元件4423,諸如冷卻水或一熱 電模組。該加熱板4422配備一加熱元件4424,諸如一電熱線或一熱電模組。該加熱板4422及該冷卻板4421之每一者可在一烘烤室4420中提供。或者,某些烘烤室4420可僅具有該加熱板4422,而其餘烘烤室4420可僅具有該冷卻板4421。該第二模組4402包含一清潔室4460、一曝光後烘烤室4470及一回流室4480。該清潔室4460、回流室4480及曝光後烘烤室4470沿一在該第二方向14上延伸之直線順序配置。因此,該清潔室4460及該曝光後烘烤室4470於該第二方向14上彼此間隔開來,而該回流室4480插於其間。在該第三方向16上,於不同層提供及設置複數個所述清潔室4460。或者,複數個所述清潔室4460可配置在所述第一和第二方向12、16之每一者上。沿一在該第三方向16上延伸之直線,於不同層提供及設置複數個所述曝光後烘烤室4470。或者,複數個所述曝光後烘烤室4470可配置在所述第一及第三方向12、13之每一者上。The baking chamber 4420 is heat-treated to the wafer W on which the protective layer is applied. The baking chamber 4420 has at least one of a cooling plate 4421 and a heating plate 4422. The cooling plate 4421 is equipped with a cooling element 4423 such as cooling water or a heat. Electric module. The heating plate 4422 is provided with a heating element 4424, such as a heating wire or a thermoelectric module. Each of the heating plate 4422 and the cooling plate 4421 can be provided in a baking chamber 4420. Alternatively, some of the toasting chambers 4420 may have only the heating plate 4422, while the remaining toasting chambers 4420 may have only the cooling plate 4421. The second module 4402 includes a clean room 4460, a post-exposure bake chamber 4470, and a reflow chamber 4480. The clean room 4460, the reflow chamber 4480, and the post-exposure bake chamber 4470 are arranged in a sequence along a line extending in the second direction 14. Therefore, the clean room 4460 and the post-exposure bake chamber 4470 are spaced apart from each other in the second direction 14, and the reflow chamber 4480 is interposed therebetween. In the third direction 16, a plurality of said clean rooms 4460 are provided and disposed in different layers. Alternatively, a plurality of said clean rooms 4460 can be disposed on each of said first and second directions 12, 16. A plurality of said post-exposure bake chambers 4470 are provided and disposed in different layers along a line extending in the third direction 16. Alternatively, a plurality of said post-exposure bake chambers 4470 can be disposed on each of said first and third directions 12, 13.

該回流室4480係在該第一方向12上與該緩衝模組4300之第二緩衝區4330並排配置。該回流室4480大體上形成為一方形或一矩形。該第二機器人4482定位於該回流室4480內。該第二機器人4482被裝配成在所述曝光後烘烤室4470、清潔室4460、緩衝模組4300、冷卻室4340、及該介面模組4500之一第二緩衝區4530(下文將對此進行說明)之間傳送該晶圓W。所提供之第二機器人4482在該第二模組4402中可具有與該第一模組4401之第一機器人4432相同之結構。The reflow chamber 4480 is disposed in parallel with the second buffer 4330 of the buffer module 4300 in the first direction 12 . The reflow chamber 4480 is formed generally as a square or a rectangle. The second robot 4482 is positioned within the reflow chamber 4480. The second robot 4482 is assembled into the second buffer zone 4530 of the post-exposure bake chamber 4470, the clean room 4460, the buffer module 4300, the cooling chamber 4340, and one of the interface modules 4500 (this will be done below) The wafer W is transferred between the instructions). The second robot 4482 provided may have the same structure as the first robot 4432 of the first module 4401 in the second module 4402.

在該曝光製程之後,該清潔室4460清潔該晶圓W。該清潔室4460包含一外殼4461、一支撐板4462及一噴嘴4463。該外殼4461形成為一具有一打開頂端之杯形形狀。該支撐板4462定位於該外殼4461內且支撐該晶圓W。該支撐板4462被可旋轉地提供。該噴嘴4463將清潔液體供應至該支撐板 4462上之晶圓W。該清潔液體可為水,諸如去離子水。該清潔室4460將該清潔液體供應至該晶圓W之中央區域,同時旋轉該支撐板4462上之晶圓W。當該晶圓W旋轉時,該噴嘴4463可自該晶圓W之中央區域直線移動或旋轉至周邊區域。The cleaning chamber 4460 cleans the wafer W after the exposure process. The cleaning chamber 4460 includes a housing 4461, a support plate 4462, and a nozzle 4463. The outer casing 4461 is formed in a cup shape having an open top end. The support plate 4462 is positioned within the outer casing 4461 and supports the wafer W. The support plate 4462 is rotatably provided. The nozzle 4463 supplies cleaning liquid to the support plate Wafer W on 4462. The cleaning liquid can be water, such as deionized water. The cleaning chamber 4460 supplies the cleaning liquid to a central region of the wafer W while rotating the wafer W on the support plate 4462. When the wafer W is rotated, the nozzle 4463 can be linearly moved or rotated from the central region of the wafer W to the peripheral region.

該曝光後烘烤室4470對在該曝光製程中已經由深紫外光處理過後之晶圓W加熱。由加熱該晶圓W,該曝光後烘烤製程藉由增幅一因曝光該光阻劑而產生之酸來完成一特性變化。該曝光後烘烤室4470具有一加熱板4472。該加熱板4472配備一加熱元件4474,諸如一電熱線或一熱電模組。該曝光後室4470可更包含一冷卻板4471。該冷卻板4471配備一冷卻元件4473,諸如冷卻水及一熱電模組。或者,可另外提供一僅具有該冷卻板4471之烘烤室。The post-exposure bake chamber 4470 heats the wafer W that has been treated by deep ultraviolet light in the exposure process. By heating the wafer W, the post-exposure bake process accomplishes a characteristic change by increasing the acid produced by exposing the photoresist. The post-exposure bake chamber 4470 has a heating plate 4472. The heating plate 4472 is provided with a heating element 4474, such as a heating wire or a thermoelectric module. The post-exposure chamber 4470 can further include a cooling plate 4471. The cooling plate 4471 is provided with a cooling element 4473 such as cooling water and a thermoelectric module. Alternatively, a baking chamber having only the cooling plate 4471 may be additionally provided.

如上所述,在該處理模組4400中,所述第一和第二模組4401及4402彼此完全分離。此外,該第一模組4401之回流室4430具有與該第二模組4402之回流室4480相同之尺寸,從而自上方檢視時,它們可彼此完全重叠。此外,該保護層塗佈室4410具有與該清潔室4460相同之尺寸,從而自上方檢視時,該保護層塗佈室4410及該清潔室4460彼此完全重叠。此外,該烘烤室4420具有與該曝光後烘烤室4470相同之尺寸,從而自上方檢視時,它們彼此完全重叠。As described above, in the processing module 4400, the first and second modules 4401 and 4402 are completely separated from each other. In addition, the reflow chamber 4430 of the first module 4401 has the same size as the reflow chamber 4480 of the second module 4402, so that they can completely overlap each other when viewed from above. Further, the protective layer coating chamber 4410 has the same size as the cleaning chamber 4460, so that the protective layer coating chamber 4410 and the cleaning chamber 4460 completely overlap each other when viewed from above. Further, the baking chambers 4420 have the same size as the post-exposure baking chamber 4470 so that they completely overlap each other when viewed from above.

該介面模組4500在該處理模組4400與該曝光單元9000之間傳送該晶圓W。該介面模組4500包含一框架4510、一第一緩衝區4520、一第二緩衝區4530及一介面機器人4540。該介面機器人4540、該第一緩衝區4520及該第二緩衝區4530定位於該框架4510內。所述第一和第二緩衝區4520及4530彼此間隔開來且相互堆疊。該第一緩衝區4520佈置於該第二緩衝區4530之上。該第一緩衝區4520設置於一對應於該第一模組4401之高度。該第二緩衝區4530設置於一對應於該第二 模組4402之高度。自上方檢視時,該第一緩衝區4520配置成在該第一方向12上與該第一模組4401之回流室4430成一直線。該第二緩衝區4530配置成在該第一方向12上與該第二模組4402之回流室4430成一直線。該介面機器人4540在該第二方向14上自所述第一和第二緩衝區4520、4530間隔開來。該介面機器人4540在該第一緩衝區4520、第二緩衝區4530及曝光單元9000之間傳送該晶圓W。該介面機器人4540具有一類似於該緩衝區機器人4530之結構。The interface module 4500 transfers the wafer W between the processing module 4400 and the exposure unit 9000. The interface module 4500 includes a frame 4510, a first buffer 4520, a second buffer 4530, and an interface robot 4540. The interface robot 4540, the first buffer 4520 and the second buffer 4530 are positioned within the frame 4510. The first and second buffer regions 4520 and 4530 are spaced apart from each other and stacked on each other. The first buffer 4520 is disposed above the second buffer 4530. The first buffer 4520 is disposed at a height corresponding to the first module 4401. The second buffer 4530 is disposed on a second corresponding to the second The height of the module 4402. The first buffer 4520 is configured to be in line with the return chamber 4430 of the first module 4401 in the first direction 12 from the top view. The second buffer region 4530 is configured to be in line with the return chamber 4430 of the second module 4402 in the first direction 12 . The interface robot 4540 is spaced from the first and second buffers 4520, 4530 in the second direction 14. The interface robot 4540 transfers the wafer W between the first buffer 4520, the second buffer 4530, and the exposure unit 9000. The interface robot 4540 has a structure similar to the buffer robot 4530.

在所述晶圓W被傳送至該曝光單元9000之前,該第一緩衝區4520臨時儲存已在該第一模組4401中處理之所述晶圓W。在所述晶圓W傳送至該第二模組4402之前,該第二緩衝區4530臨時儲存已在該曝光單元9000中處理之所述晶圓W。該第一緩衝區4520具有一外殼4521及複數個支撐物4522。所述支撐物4522設置於該外殼4521內,且在該第三方向16上彼此間隔開來。一晶圓W設置於所述支撐物4522之每一者上。該外殼4521配備有分別對應於該介面機器人4540及該第一機器人4432之開口,以便該介面機器人4540及該第一機器人4432可將該晶圓W運送至該外殼4521中之所述支撐物4522,及自所述支撐物4522取出該晶圓W。該第二緩衝區4530具有一類似於該第一緩衝區4520之結構。然而,該第二緩衝區4530之外殼4531配備有分別對應於該介面機器人4540及該第二機器人4482之開口(未顯示)。該第一緩衝區4520之所述支撐物4522之數量可與該第二緩衝區4530之所述支撐物4532相同或不同。The first buffer 4520 temporarily stores the wafer W that has been processed in the first module 4401 before the wafer W is transferred to the exposure unit 9000. The second buffer 4530 temporarily stores the wafer W that has been processed in the exposure unit 9000 before the wafer W is transferred to the second module 4402. The first buffer 4520 has a housing 4521 and a plurality of supports 4522. The supports 4522 are disposed within the outer casing 4521 and are spaced apart from each other in the third direction 16. A wafer W is disposed on each of the supports 4522. The housing 4521 is provided with openings corresponding to the interface robot 4540 and the first robot 4432, respectively, so that the interface robot 4540 and the first robot 4432 can transport the wafer W to the support 4522 in the housing 4521. And removing the wafer W from the support 4522. The second buffer 4530 has a structure similar to the first buffer 4520. However, the outer casing 4531 of the second buffer 4530 is provided with openings (not shown) corresponding to the interface robot 4540 and the second robot 4482, respectively. The number of the supports 4522 of the first buffer 4520 may be the same as or different from the support 4532 of the second buffer 4530.

以下將參考第九圖說明一根據一具體實施例藉由該曝光前後處理單元4000執行之製程。第九圖係說明一根據一具體實施例藉由該曝光前後處理單元4000對該晶圓W執行之製程之流程圖。在第五圖中,將例示性地說明一種情況,其中,將 化學增幅型光阻劑塗佈在該晶圓W上,且該曝光單元9000使用深紫外光源執行曝光製程及液體中曝光微影術。Hereinafter, a process performed by the pre- and post-exposure processing unit 4000 according to an embodiment will be described with reference to the ninth embodiment. The ninth drawing illustrates a flow chart of a process performed on the wafer W by the pre- and post-exposure processing unit 4000 in accordance with an embodiment. In the fifth figure, a case will be exemplarily illustrated, in which A chemically amplified photoresist is coated on the wafer W, and the exposure unit 9000 performs an exposure process and liquid exposure lithography using a deep ultraviolet light source.

已在該塗佈單元3000中處理之所述晶圓W被裝載在該容器2000中。該容器2000設置於該曝光前後處理單元400之裝載台4120上(S212)。藉由開門器(未顯示)打開該門。該分度機器人4220自該容器2000取出該晶圓W,並將該晶圓W運送至該緩衝模組4300之第二緩衝區4330(S214)。該緩衝區機器人4350將儲存於該第二緩衝區4330中之晶圓W運送至該第一緩衝區4320(S216)。該第一機器人4432自該第一緩衝區4320取出該晶圓,並將該晶圓運送至該處理模組4400之保護層塗佈室4410(S218)。該保護層塗佈室4410將保護層塗佈於該晶圓W之上(S220)。下一步,該第一機器人4432將該晶圓W自該保護層塗佈室4410傳送至該烘烤室4420(S222)。該烘烤室4420對該晶圓W執行一熱處理,諸如加熱及冷卻(S224)。The wafer W that has been processed in the coating unit 3000 is loaded in the container 2000. The container 2000 is placed on the loading table 4120 of the pre-exposure processing unit 400 (S212). The door is opened by a door opener (not shown). The indexing robot 4220 takes out the wafer W from the container 2000, and transports the wafer W to the second buffer 4330 of the buffer module 4300 (S214). The buffer robot 4350 transports the wafer W stored in the second buffer 4330 to the first buffer 4320 (S216). The first robot 4432 takes the wafer from the first buffer 4320 and transports the wafer to the protective layer coating chamber 4410 of the processing module 4400 (S218). The protective layer coating chamber 4410 applies a protective layer on the wafer W (S220). Next, the first robot 4432 transfers the wafer W from the protective layer coating chamber 4410 to the baking chamber 4420 (S222). The baking chamber 4420 performs a heat treatment, such as heating and cooling, on the wafer W (S224).

該第一機器人4432自該烘烤室4420取出該晶圓W,並將該晶圓W運送至該第一緩衝區4520(S226)。該介面機器人4540將該晶圓W自該第一緩衝區4520運送至該曝光單元9000(S228)。對該晶圓W之曝光製程執行於該曝光單元9000(S230)中。下一步,該介面機器人4540將該晶圓W自該曝光單元9000運送至該第二緩衝區4530(S232)。The first robot 4432 takes out the wafer W from the baking chamber 4420, and transports the wafer W to the first buffer 4520 (S226). The interface robot 4540 transports the wafer W from the first buffer 4520 to the exposure unit 9000 (S228). The exposure process for the wafer W is performed in the exposure unit 9000 (S230). Next, the interface robot 4540 transports the wafer W from the exposure unit 9000 to the second buffer 4530 (S232).

該第二機器人4482自該第二緩衝區4530之支撐物取出該晶圓W,並將該晶圓W運送至該處理模組4400之清潔室4460(S234)。該清潔室4460將清潔液體供應至該晶圓W之一表面,以執行清潔製程(S236)。當藉由該清潔液體清潔該晶圓W之過程完成時,該第二機器人4482立即自該清潔室4460取出該晶圓W,並將該晶圓W運送至該曝光後烘烤室4470(S238)。附著在該晶圓W之清潔液體,藉由在該曝光後烘烤室4470之熱 板上加熱該晶圓W而移除,同時,在光阻劑中產生之酸被增幅,以完成該光阻劑之特性變化(S240)。The second robot 4482 extracts the wafer W from the support of the second buffer 4530, and transports the wafer W to the cleaning chamber 4460 of the processing module 4400 (S234). The cleaning chamber 4460 supplies cleaning liquid to one surface of the wafer W to perform a cleaning process (S236). When the process of cleaning the wafer W by the cleaning liquid is completed, the second robot 4482 immediately takes out the wafer W from the cleaning chamber 4460, and transports the wafer W to the post-exposure baking chamber 4470 (S238 ). a cleaning liquid attached to the wafer W, by the heat of the baking chamber 4470 after the exposure The wafer W is heated and removed on the sheet, and at the same time, the acid generated in the photoresist is amplified to complete the characteristic change of the photoresist (S240).

該第二機器人4482將該晶圓W自該曝光後烘烤室4470運送至該緩衝模組4300之冷卻室4340(S242)。該晶圓W之冷卻被執行於該冷卻室4340中(S244)。該分度機器人4220自該冷卻室4340取出該晶圓W,並將該晶圓W運送至該容器2000(S246)。The second robot 4482 transports the wafer W from the post-exposure baking chamber 4470 to the cooling chamber 4340 of the buffer module 4300 (S242). Cooling of the wafer W is performed in the cooling chamber 4340 (S244). The indexing robot 4220 takes out the wafer W from the cooling chamber 4340, and transports the wafer W to the container 2000 (S246).

該容器2000被運送至該顯像單元5000,且該顯像製程執行於該顯像單元5000中。The container 2000 is transported to the developing unit 5000, and the developing process is executed in the developing unit 5000.

某些殘留於該晶圓W上之保護層藉由一顯影溶液移除,其餘保護層在一灰化製程期間與該光阻劑一起移除。Some of the protective layer remaining on the wafer W is removed by a developing solution, and the remaining protective layer is removed together with the photoresist during an ashing process.

根據第六圖之一具體實施例,在該曝光前後處理單元4000中不提供保護層移除室。因此,該曝光前後處理單元4000之結構比較簡單,且可縮短用於執行該製程之時間。According to a specific embodiment of the sixth figure, no protective layer removing chamber is provided in the pre-exposure processing unit 4000. Therefore, the structure of the pre- and post-exposure processing unit 4000 is relatively simple, and the time for executing the process can be shortened.

此外,當使用化學增幅型光阻劑時,在該曝光製程執行之後執行該曝光後烘烤製程之時機設定非常重要。根據第六圖之具體實施例,為該曝光前後處理單元4000提供該曝光後烘烤室4470。因此,在該晶圓W被傳送至該顯像單元5000之前,可在該曝光前後處理單元4000中快速實現酸增幅。Further, when a chemical amplification type photoresist is used, it is very important to perform the timing setting of the post-exposure baking process after the exposure process is performed. According to a specific embodiment of the sixth embodiment, the post-exposure processing unit 4000 is provided with the post-exposure processing unit 4000. Therefore, acid growth can be quickly achieved in the pre- and post-exposure processing unit 4000 before the wafer W is transferred to the developing unit 5000.

此外,根據第六圖之具體實施例,所述清潔室4460僅使用清潔液體對該晶圓W執行清潔製程。即,該清潔室4460不使用乾燥氣體對該晶圓W執行乾燥製程。該晶圓W之乾燥係藉由加熱該晶圓W來執行。舉例而言,在該曝光後烘烤室4470中,該晶圓W之乾燥與酸增幅同時執行。因此,與該晶圓之清潔及乾燥執行於該清潔室4460中之情況相比較,可縮短該處理時間。Further, according to the specific embodiment of the sixth figure, the cleaning chamber 4460 performs a cleaning process on the wafer W using only the cleaning liquid. That is, the cleaning chamber 4460 performs a drying process on the wafer W without using a drying gas. The drying of the wafer W is performed by heating the wafer W. For example, in the post-exposure bake chamber 4470, the drying of the wafer W is performed simultaneously with the acid increase. Therefore, the processing time can be shortened as compared with the case where the cleaning and drying of the wafer is performed in the cleaning chamber 4460.

以下將說明該曝光前後處理單元4000之各種修改實例。Various modified examples of the pre- and post-exposure processing unit 4000 will be described below.

在上述具體實施例中,已說明該第一模組4401佈置於該 第二模組4402之上。然而,該第二模組4402可佈置於該第一模組4401之上。In the above specific embodiment, it has been explained that the first module 4401 is disposed in the Above the second module 4402. However, the second module 4402 can be disposed on the first module 4401.

此外,該處理模組4400可僅包含一模組,而不是設置於不同層之所述第一和第二模組4401及4402。在此情況下,保護層塗佈室4410、烘烤室4420、清潔室4460及曝光後烘烤室4470可全部在該模組中提供。In addition, the processing module 4400 may include only one module instead of the first and second modules 4401 and 4402 disposed in different layers. In this case, the protective layer coating chamber 4410, the baking chamber 4420, the cleaning chamber 4460, and the post-exposure baking chamber 4470 may all be provided in the module.

此外,除用於供應清潔液體之噴嘴之外,該清潔室4460可更包含一用於供應乾燥氣體之噴嘴。在此情況下,可在該曝光後烘烤室4470中加熱該晶圓W之前,移除附著至該晶圓W上之清潔液體。Further, in addition to the nozzle for supplying the cleaning liquid, the cleaning chamber 4460 may further include a nozzle for supplying the drying gas. In this case, the cleaning liquid attached to the wafer W may be removed before the wafer W is heated in the post-exposure baking chamber 4470.

此外,可不在該第二模組4402中提供冷卻板。該晶圓W之冷卻可僅在該緩衝模組4300之冷卻室4340中實現。在此情況下,可在該緩衝模組4300中設置複數個所述冷卻室4340並使其相互堆疊。Additionally, a cooling plate may not be provided in the second module 4402. The cooling of the wafer W can be achieved only in the cooling chamber 4340 of the buffer module 4300. In this case, a plurality of the cooling chambers 4340 may be disposed in the buffer module 4300 and stacked on each other.

此外,可不在該緩衝模組4300中提供冷卻室4340。在此情況下,在該第二模組4402中冷卻之晶圓W藉由該第二機器人4482直接傳送至該第二緩衝區4330,且所述分度機器人4220將儲存於該第二緩衝區4330中之晶圓W傳送至該容器2000。Additionally, the cooling chamber 4340 may not be provided in the buffer module 4300. In this case, the wafer W cooled in the second module 4402 is directly transferred to the second buffer 4330 by the second robot 4482, and the indexing robot 4220 will be stored in the second buffer. The wafer W in 4330 is transferred to the container 2000.

此外,該緩衝模組4300中該冷卻室4340之第二緩衝區4330之所述位置可交換。In addition, the locations of the second buffer 4330 of the cooling chamber 4340 in the buffer module 4300 can be exchanged.

此外,所述第一和第二模組4401及4402之所述位置可交換。在此情況下,可選擇性地在一對應於該第二模組4402之高度提供該緩衝模組4300中之冷卻單元。Moreover, the locations of the first and second modules 4401 and 4402 are interchangeable. In this case, the cooling unit in the buffer module 4300 can be selectively provided at a height corresponding to the second module 4402.

此外,該緩衝模組4300可具有與該處理模組4400相同之高度。在此情況下,該分度機器人4220可將該晶圓直接傳送至該第一緩衝區4320。In addition, the buffer module 4300 can have the same height as the processing module 4400. In this case, the indexing robot 4220 can transfer the wafer directly to the first buffer 4320.

此外,可在該第二模組4402中提供一保護層移除室,以 用於在該曝光製程之後移除保護層。在此情況下,可在該蝕刻製程之前移除該晶圓W上之保護層。In addition, a protective layer removing chamber may be provided in the second module 4402 to Used to remove the protective layer after the exposure process. In this case, the protective layer on the wafer W can be removed prior to the etching process.

此外,當該曝光單元9000使用一除該液體中曝光微影術方法之外之方法執行一製程時,可在該第一模組4401中不提供保護層塗佈單元3000。在此情況下,亦可不提供烘烤室4420。在此情況下,該處理模組4400可僅包含該第二模組4402,而無需該第一模組4401。In addition, when the exposure unit 9000 performs a process using a method other than the exposure lithography method in the liquid, the protective layer coating unit 3000 may not be provided in the first module 4401. In this case, the baking chamber 4420 may not be provided. In this case, the processing module 4400 can only include the second module 4402 without the first module 4401.

此外,當所述曝光單元9000使用一除該深紫外光源之外之光源時,可在該第二模組4402中不提供曝光後烘烤室4470。In addition, when the exposure unit 9000 uses a light source other than the deep ultraviolet light source, the post-exposure baking chamber 4470 may not be provided in the second module 4402.

(顯像單元)(development unit)

第十圖至第十二圖係該顯像單元5000之概略示意視圖。即,第十圖係顯像單元5000自上方檢視時之視圖,第十一圖係該顯像單元5000自一方向「E」檢視時之視圖,且第十二圖係該顯像單元5000自一方向「F」檢視時之視圖。The tenth to twelfth drawings are schematic schematic views of the developing unit 5000. That is, the tenth image is a view when the image forming unit 5000 is viewed from above, and the eleventh image is a view when the image forming unit 5000 is viewed from a direction "E", and the twelfth image is the image forming unit 5000. A view in the direction of "F" view.

參照第十圖至第十二圖,該顯像單元5000包含一裝載口5100、一分度模組5200、一緩衝模組5300及一處理模組5400。該裝載口5100、該分度模組5200、該緩衝模組5300及該處理模組5400按順序配置於該第一方向12上。該裝載口5100具有一裝載台5120,於其上設置收納所述晶圓W之容器2000。在一在該第二方向14上延伸之直線上,提供及配置複數個該裝載台5120。在第十圖中,提供四個裝載台5120。Referring to the tenth to twelfth drawings, the image forming unit 5000 includes a loading port 5100, an indexing module 5200, a buffer module 5300, and a processing module 5400. The loading port 5100, the indexing module 5200, the buffer module 5300, and the processing module 5400 are disposed in the first direction 12 in this order. The load port 5100 has a loading table 5120 on which a container 2000 for accommodating the wafer W is disposed. A plurality of the loading stations 5120 are provided and arranged on a line extending in the second direction 14. In the tenth figure, four loading stations 5120 are provided.

該分度模組5200在該裝載臺上之容器2000與該緩衝模組5300之間傳送該晶圓W。該分度模組5200包含一框架5210、一分度機器人5220及一導軌5230。該框架5210形成為一空長方體形,並設置於該裝載口5100與該緩衝模組5300之間。該框架5210可被提供為低於該緩衝模組5300之一框架5310(下文將對此進行說明)。該分度機器人5220及該導軌5230設置於該框架5210內。該分度機器人5220具有一4軸驅動結 構,以便一直接處理該晶圓W之手5221可在該第一、第二及第三方向12、14、16上旋轉及移動。除該手5221之外,該分度機器人5220還包含一臂5222、一支撐物5223及一基座5224。該臂5222被提供成可擴充且可收縮,以便該手5222可在水平方向上移動。該支撐物5223設置成便於其一長度方向在該第三方向16上延伸。該臂5222連接至該支撐物5223,以便可沿該支撐物5223移動。該導軌5230提供成便於其一長度方向在該第二方向14上延伸。該支撐物5223固定連接至該基座5224。該基座5224連接至該導軌5230,以便可沿該導軌5230直線移動。儘管未顯示於所述圖式中,但是該框架5210配備一開門器,以用於打開及關閉該容器2000之門。The indexing module 5200 transfers the wafer W between the container 2000 on the loading platform and the buffer module 5300. The indexing module 5200 includes a frame 5210, an indexing robot 5220, and a guide rail 5230. The frame 5210 is formed in an empty rectangular parallelepiped shape and disposed between the loading port 5100 and the buffer module 5300. The frame 5210 can be provided lower than one of the frames 5310 of the buffer module 5300 (which will be described below). The indexing robot 5220 and the guide rail 5230 are disposed in the frame 5210. The indexing robot 5220 has a 4-axis drive junction The hand 5221, which directly processes the wafer W, can be rotated and moved in the first, second, and third directions 12, 14, 16. In addition to the hand 5221, the indexing robot 5220 further includes an arm 5222, a support 5223, and a base 5224. The arm 5222 is provided to be expandable and retractable so that the hand 5222 can be moved in a horizontal direction. The support 5223 is arranged to facilitate its lengthwise extension in the third direction 16. The arm 5222 is coupled to the support 5223 so as to be movable along the support 5223. The guide rail 5230 is provided to facilitate its lengthwise extension in the second direction 14. The support 5223 is fixedly coupled to the base 5224. The base 5224 is coupled to the rail 5230 so as to be linearly movable along the rail 5230. Although not shown in the drawings, the frame 5210 is equipped with a door opener for opening and closing the door of the container 2000.

參照第十一圖,該緩衝模組5300包含一框架5310、一第一緩衝區5320、一第二緩衝區5330、一第一冷卻室5340、一第二冷卻室5350及一緩衝區機器人5360。該框架5310形成為一空長方體形。該框架5310設置於該分度模組5200與該處理模組5400之間。該第一緩衝區5320、該第二緩衝區5330、該第一冷卻室5340、該第二冷卻室5350及該緩衝區機器人5360設置於該框架5310中。該第二冷卻室5350、該第二緩衝區5330、該第一冷卻室5340及該第一緩衝區5320沿該第三方向16向上順序配置。該第一冷卻室5340及該第一緩衝區5320定位於與該處理模組5400之一第一模組5401(下文將對此進行說明)相同之高度。該第二冷卻室5350及該第二緩衝區5330定位於與該處理模組5400之一第二模組5402(下文將對此進行說明)相同之高度。該緩衝區機器人5360被定位成在該第二方向14上與該第二緩衝區5330、該第二冷卻室5350、該第一緩衝區5320及該第一冷卻室5340間隔一預定距離。Referring to FIG. 11 , the buffer module 5300 includes a frame 5310 , a first buffer 5320 , a second buffer 5330 , a first cooling chamber 5340 , a second cooling chamber 5350 , and a buffer robot 5360 . The frame 5310 is formed in an empty rectangular parallelepiped shape. The frame 5310 is disposed between the indexing module 5200 and the processing module 5400. The first buffer 5320, the second buffer 5330, the first cooling chamber 5340, the second cooling chamber 5350, and the buffer robot 5360 are disposed in the frame 5310. The second cooling chamber 5350, the second buffer region 5330, the first cooling chamber 5340, and the first buffer region 5320 are arranged in the third direction 16 in the upward direction. The first cooling chamber 5340 and the first buffer 5320 are positioned at the same height as the first module 5401 (described below) of the processing module 5400. The second cooling chamber 5350 and the second buffer 5330 are positioned at the same height as the second module 5402 of the processing module 5400 (which will be described later). The buffer robot 5360 is positioned to be spaced apart from the second buffer 5330, the second cooling chamber 5350, the first buffer 5320, and the first cooling chamber 5340 by a predetermined distance in the second direction 14.

所述第一和第二緩衝區5320、5330之每一者臨時儲存複 數個所述晶圓W。該第二緩衝區5330包含一外殼5331及複數個支撐物5332。所述支撐物5332設置於該外殼5331中,並在該第三方向16上彼此間隔開來。一晶圓W設置於所述支撐物5332之每一者上。該外殼5331配備有對應於該分度機器人5220、緩衝區機器人5360及第二機器人5482之開口(未顯示),以便該分度機器人5220、緩衝區機器人5360、及該第二模組5402之一第二機器人5482(下文將對此進行說明)可將該晶圓運送至該支撐物5332或自該支撐物5332取出該晶圓。該第一緩衝區5320具有一類似於該第二緩衝區5330之結構。然而,該第一緩衝區5320之外殼5321配備有對應於該緩衝區機器人5360及該第一模組5401上之一第一機器人5432(下文將對此進行說明)之開口。該第一緩衝區5320之所述支撐物5322之數量可與該第二緩衝區5330之所述支撐物5332之數量相同或不同。舉例而言,所述支撐物5332之第二緩衝區5330之數量可大於該第一緩衝區5320之所述支撐物5322之數量。Temporary storage of each of the first and second buffers 5320, 5330 A plurality of said wafers W. The second buffer 5330 includes a housing 5331 and a plurality of supports 5332. The supports 5332 are disposed in the outer casing 5331 and are spaced apart from each other in the third direction 16. A wafer W is disposed on each of the supports 5332. The housing 5331 is equipped with an opening (not shown) corresponding to the indexing robot 5220, the buffer robot 5360, and the second robot 5482, so that the indexing robot 5220, the buffer robot 5360, and the second module 5402 A second robot 5482 (which will be described below) can transport the wafer to the support 5332 or remove the wafer from the support 5332. The first buffer 5320 has a structure similar to the second buffer 5330. However, the outer casing 5321 of the first buffer 5320 is equipped with an opening corresponding to the buffer robot 5360 and one of the first robots 5432 (which will be described later) on the first module 5401. The number of the supports 5322 of the first buffer 5320 may be the same as or different from the number of the supports 5332 of the second buffer 5330. For example, the number of the second buffers 5330 of the support 5332 may be greater than the number of the supports 5322 of the first buffer 5320.

該緩衝區機器人5360在所述第一和第二緩衝區5320及5330之間傳送該晶圓W。該緩衝區機器人5360包含一手5361、一臂5362及一支撐物5363。該手5361固定安裝於該臂5362上。該臂5362被裝配成能夠擴展及收縮,以便該手5361可在該第二方向14上移動。該臂5362連接至該支撐物5363,以便可於該第三方向16上沿該支撐物5363直線移動。該支撐物5363具有一長度,其自一對應於該第二緩衝區5330之位置延伸至一對應於該第一緩衝區5320之位置。該支撐物5363可進一步延伸至對應於該第二緩衝區5330之位置或對應於該第一緩衝區5320之位置上方。該緩衝區機器人5360可被裝配成具有一2軸驅動結構,以便該手5361僅在該第二及第三方向14、16上移動。The buffer robot 5360 transfers the wafer W between the first and second buffers 5320 and 5330. The buffer robot 5360 includes a hand 5361, an arm 5362, and a support 5363. The hand 5361 is fixedly mounted to the arm 5362. The arm 5362 is configured to expand and contract so that the hand 5361 can move in the second direction 14. The arm 5362 is coupled to the support 5363 so as to be linearly movable along the support 5363 in the third direction 16. The support 5363 has a length that extends from a position corresponding to the second buffer 5330 to a position corresponding to the first buffer 5320. The support 5363 can further extend to a position corresponding to the second buffer 5325 or a position corresponding to the first buffer 5320. The buffer robot 5360 can be assembled to have a 2-axis drive structure such that the hand 5361 moves only in the second and third directions 14, 16.

所述第一和第二冷卻室5340、5350冷卻該晶圓W。該第一冷卻室5340具有與該第二冷卻室5350相同之結構。該第二冷卻室5350具有一外殼5351及一冷卻板5352。該冷卻板5352具有一於其上設置該晶圓W之頂表面,及一用於冷卻該晶圓W的冷卻單元5353。該冷卻元件5353可由各種元件形成,諸如冷卻水、一熱電模組,諸如此類。此外,該第二冷卻室5350可配備一提升銷總成(未顯示),以用於將該晶圓W定位於該冷卻板5352之上。該外殼5351配備有對應於該分度機器人5220及該第二機器人5482之開口(未顯示),以便該分度機器人5220及該第二模組5402之一第二機器人5482(下文將對此進行說明)可將該晶圓W運送至該冷卻板5352之上,或自該冷卻板5352取出該晶圓W。此外,該第二冷卻室5350可具有門(未顯示),以用於打開及關閉所述開口。The first and second cooling chambers 5340, 5350 cool the wafer W. The first cooling chamber 5340 has the same structure as the second cooling chamber 5350. The second cooling chamber 5350 has a housing 5351 and a cooling plate 5352. The cooling plate 5352 has a top surface on which the wafer W is disposed, and a cooling unit 5353 for cooling the wafer W. The cooling element 5353 can be formed from various components such as cooling water, a thermoelectric module, and the like. Additionally, the second cooling chamber 5350 can be provided with a lift pin assembly (not shown) for positioning the wafer W over the cooling plate 5352. The housing 5351 is equipped with an opening (not shown) corresponding to the indexing robot 5220 and the second robot 5482, so that the indexing robot 5220 and the second module 5402 are one of the second robots 5482 (which will be described below) The wafer W can be transported onto the cooling plate 5352 or taken out from the cooling plate 5352. Additionally, the second cooling chamber 5350 can have a door (not shown) for opening and closing the opening.

在該晶圓W被傳送至該曝光前後處理單元4000之前,該處理模組5400執行一所需製程。該處理模組大體上形成為一長方體形。該處理模組5400包含一第一模組5401及一第二模組5402。所述第一和第二模組5401、5402配置在不同層。可提供所述第一和第二模組5401、5402以執行一相同製程。舉例而言,該第一模組5401定位於該第二模組5402之上方。The processing module 5400 performs a required process before the wafer W is transferred to the pre- and post-exposure processing unit 4000. The processing module is formed substantially in the shape of a rectangular parallelepiped. The processing module 5400 includes a first module 5401 and a second module 5402. The first and second modules 5401, 5402 are configured in different layers. The first and second modules 5401, 5402 can be provided to perform an identical process. For example, the first module 5401 is positioned above the second module 5402.

該第一模組3401包含一顯像室5410、一烘烤室5420及一回流室5430。該顯像室5410、該烘烤室5420及該回流室5430按順序配置於該第二方向14上。因此,該顯像室5410及該烘烤室5420在該第二方向14上彼此間隔開來,而該回流室5430插於其間。複數個該顯像室5410配置在所述第一及第三方向12、16之每一者上。在所述圖式中,例示性地提供六個顯像室5410。複數個所述烘烤室5420配置在所述第一及第三方向12、16之每一者上。在所述圖式中,例示性地提供六個烘烤室5420。然而,可提供六個或更多烘烤室5420。The first module 3401 includes a developing chamber 5410, a baking chamber 5420, and a reflow chamber 5430. The developing chamber 5410, the baking chamber 5420, and the return chamber 5430 are disposed in the second direction 14 in this order. Therefore, the developing chamber 5410 and the baking chamber 5420 are spaced apart from each other in the second direction 14, and the return chamber 5430 is interposed therebetween. A plurality of the imaging chambers 5410 are disposed on each of the first and third directions 12, 16. In the drawings, six developing chambers 5410 are exemplarily provided. A plurality of the baking chambers 5420 are disposed on each of the first and third directions 12, 16. In the drawings, six baking chambers 5420 are illustratively provided. However, six or more baking chambers 5420 can be provided.

該回流室5430與該緩衝模組5300之第一緩衝區5320在該第一方向12上並排定位。在該回流室5430中設置該第一機器人5432及該導軌5433。該回流室5430大體上係形成為一矩形。該第一機器人5432在所述烘烤室5320、顯像室5400、第一緩衝區5320及第一冷卻室5340之間傳送該晶圓W。該導軌5433具有一在該第一方向12上延伸之長度方向。該導軌5433在該第一方向12上導引該第一機器人5432之直線運動。該機器人5432具有一手5434、一臂5453、一支撐物5436及一基座5437。該手5434固定安裝於該臂5435上。該臂5435被裝配成能夠擴展及收縮,以便該手5434可在水平方向上移動。該支撐物5436設置成便於其一長度方向在該第三方向16上延伸。該臂5435連接至該支撐物5436,以便能夠在該第三方向16上沿該支撐物5436直線移動。該支撐物5436固定連接至該基座5437,且該基座5437連接至該導軌5433,以便能夠沿該導軌5433移動。The return chamber 5430 and the first buffer 5320 of the buffer module 5300 are positioned side by side in the first direction 12 . The first robot 5432 and the guide rail 5433 are disposed in the return chamber 5430. The reflow chamber 5430 is generally formed in a rectangular shape. The first robot 5432 transfers the wafer W between the baking chamber 5320, the developing chamber 5400, the first buffer 5320, and the first cooling chamber 5340. The guide rail 5433 has a length direction extending in the first direction 12. The guide rail 5433 guides the linear motion of the first robot 5432 in the first direction 12. The robot 5432 has a hand 5434, an arm 5453, a support 5436 and a base 5437. The hand 5434 is fixedly mounted to the arm 5435. The arm 5435 is assembled to expand and contract so that the hand 5434 can move in a horizontal direction. The support 5436 is configured to facilitate its lengthwise extension in the third direction 16. The arm 5435 is coupled to the support 5436 so as to be linearly movable along the support 5436 in the third direction 16. The support 5436 is fixedly coupled to the base 5437 and the base 5437 is coupled to the rail 5433 so as to be movable along the rail 5433.

所有顯像室5410均具有相同結構。然而,使用於該顯像室5410中之顯影溶液類型可彼此不同。該顯像室5410移除該光阻劑之一曝光部分。在此,該保護層之一曝光部分亦被一起移除。根據所使用之顯影溶液之類型,可選擇性地移除光阻劑之未曝光部分與保護層之未曝光部分。All of the developing chambers 5410 have the same structure. However, the types of developing solutions used in the developing chamber 5410 may be different from each other. The developing chamber 5410 removes an exposed portion of the photoresist. Here, the exposed portions of one of the protective layers are also removed together. The unexposed portion of the photoresist and the unexposed portion of the protective layer are selectively removed depending on the type of developing solution used.

該顯像室5410包含一外殼5411、一支撐板5412及一噴嘴5413。該外殼5411形成為一具有一打開頂端之杯形形狀。該支撐板5412定位於該外殼3411中以支撐該晶圓W。該支撐板3412被裝配成便能夠旋轉。該噴嘴5413將顯影溶液供應至該支撐板5412上之晶圓W上。該噴嘴5412形成為一圓管形,以將顯影溶液供應至該晶圓W之中心。或者,該噴嘴5412可具有一對應於該晶圓W直徑之長度,且具有一狹縫型出口。此外,該顯像室5410可更包含一噴嘴5414以用於供應諸如去 離子水之清潔液體,以用於清潔一於其上塗佈光阻劑之晶圓W表面。The imaging chamber 5410 includes a housing 5411, a support plate 5412, and a nozzle 5413. The outer casing 5411 is formed in a cup shape having an open top end. The support plate 5412 is positioned in the outer casing 3411 to support the wafer W. The support plate 3412 is assembled to be rotatable. The nozzle 5413 supplies the developing solution to the wafer W on the support plate 5412. The nozzle 5412 is formed in a circular tube shape to supply a developing solution to the center of the wafer W. Alternatively, the nozzle 5412 can have a length corresponding to the diameter of the wafer W and have a slit-type outlet. In addition, the imaging chamber 5410 may further include a nozzle 5414 for supplying such as going A cleaning liquid of ionized water for cleaning the surface of a wafer W on which a photoresist is applied.

所述烘烤室5420熱處理該晶圓W。舉例而言,所述烘烤室3420在該顯像製程之前執行一後烘製程以用於加熱該晶圓W,在該顯像製程之後執行一硬烤製程以用於加熱該晶圓W,並執行在各自烘烤製程之後執行之冷卻製程。該烘烤室5420包含一冷卻板5421或一加熱板5422。該冷卻板5421配備一冷卻元件5423,諸如冷卻水或熱電模組。該加熱板5422配備一加熱元件5424,諸如一電熱線或一熱電模組。該加熱板5422及該冷卻板5421可在各自烘烤室5420中提供。或者,某些烘烤室5420可僅具有該加熱板5422,而其餘可僅具有該冷卻板5421。The baking chamber 5420 heats the wafer W. For example, the baking chamber 3420 performs a post-baking process for heating the wafer W before the developing process, and performing a hard baking process for heating the wafer W after the developing process. And performing a cooling process performed after the respective baking processes. The baking chamber 5420 includes a cooling plate 5421 or a heating plate 5422. The cooling plate 5421 is provided with a cooling element 5423 such as a cooling water or thermoelectric module. The heating plate 5422 is provided with a heating element 5424, such as a heating wire or a thermoelectric module. The heating plate 5422 and the cooling plate 5421 can be provided in respective baking chambers 5420. Alternatively, some of the baking chambers 5420 may have only the heating plate 5422, while the rest may have only the cooling plate 5421.

該第二模組5402包含一顯像室5460、一烘烤室5470及一回流室5480。該顯像室5460、該烘烤室5470及該回流室5480具有與該第一模組5401之顯像室5410、烘烤室5420及回流室5430相同之結構及配置。此外,該回流室5480具有一第二機器人5482,其具有與該第一模組3401之第一機器人3432相同之結構。該第二機器人5482被裝配成在該顯像室5460、該烘烤室5470、該第二緩衝區5330及該第二冷卻室5350之間傳送該晶圓W。The second module 5402 includes a developing chamber 5460, a baking chamber 5470, and a return chamber 5480. The developing chamber 5460, the baking chamber 5470, and the reflow chamber 5480 have the same structure and arrangement as the developing chamber 5410, the baking chamber 5420, and the reflow chamber 5430 of the first module 5401. In addition, the reflow chamber 5480 has a second robot 5482 having the same structure as the first robot 3432 of the first module 3401. The second robot 5482 is assembled to transport the wafer W between the developing chamber 5460, the baking chamber 5470, the second buffer 5330, and the second cooling chamber 5350.

在上述處理模組3400中,所述第一和第二模組3401、3402彼此分離。此外,自上方檢視時,該第一模組3401具有與該第二模組相同之結構及配置。In the above processing module 3400, the first and second modules 3401, 3402 are separated from each other. In addition, the first module 3401 has the same structure and configuration as the second module when viewed from above.

以下將參考第十三A圖及第十三B圖說明一藉由第十圖之顯像單元5000執行之製程。第十三A圖及第十三B圖係說明一根據一具體實施例藉由該顯像單元5000對該晶圓W執行之製程之流程圖。A process executed by the developing unit 5000 of the tenth embodiment will be described below with reference to FIGS. 13A and 13B. 13A and 13B are flowcharts showing a process performed on the wafer W by the developing unit 5000 according to an embodiment.

在該裝載口5100之裝載台5120上,設置用於收納所述晶 圓W之容器2000(S312)。該容器2000之門藉由該開門器打開。該分度機器人5220自該容器2000取出該晶圓W,並將該晶圓W運送至該第二緩衝區5330(S314)。該晶圓W被傳送至所述第一和第二模組5401、5402之一者。Provided on the loading table 5120 of the loading port 5100 for accommodating the crystal Container W of circle W (S312). The door of the container 2000 is opened by the door opener. The indexing robot 5220 takes out the wafer W from the container 2000, and transports the wafer W to the second buffer area 5330 (S314). The wafer W is transferred to one of the first and second modules 5401, 5402.

當選定在該第一模組5401中處理該晶圓W時,該緩衝區機器人5360將儲存於該第二緩衝區5330中之晶圓W運送至該第一緩衝區5320(S320)。該第一機器人5432自該第一緩衝區5320取出該晶圓W,並將該晶圓W運送至該烘烤室5420(S322)。該烘烤室5420順序執行後烘製程及冷卻製程(S324)。該第一機器人5432自該烘烤室5420取出該晶圓W,並將該晶圓W運送至該顯像室3410(S326)。該顯像室5410將該顯影溶液塗佈於該晶圓W(S328)之上。下一步,該第一機器人5432將該晶圓W自該顯像室5410運送至該烘烤室5420(S330)。該烘烤室5420對該晶圓W執行該硬烤製程(S332)。When the wafer W is selected to be processed in the first module 5401, the buffer robot 5360 transports the wafer W stored in the second buffer 5330 to the first buffer 5320 (S320). The first robot 5432 takes out the wafer W from the first buffer 5320, and transports the wafer W to the baking chamber 5420 (S322). The baking chamber 5420 sequentially performs a post-drying process and a cooling process (S324). The first robot 5432 takes out the wafer W from the baking chamber 5420, and transports the wafer W to the developing chamber 3410 (S326). The developing chamber 5410 applies the developing solution onto the wafer W (S328). Next, the first robot 5432 transports the wafer W from the developing chamber 5410 to the baking chamber 5420 (S330). The baking chamber 5420 performs the hard baking process on the wafer W (S332).

該第一機器人5432自該烘烤室5420取出該晶圓並將該晶圓運送至該第一冷卻室5340(S334)。該第一冷卻室5340執行一用於冷卻該晶圓W之製程(S336)。該分度機器人5220自該第一冷卻室5340取出該晶圓並將該晶圓運送至該容器2000(S338)。The first robot 5432 takes the wafer from the baking chamber 5420 and transports the wafer to the first cooling chamber 5340 (S334). The first cooling chamber 5340 performs a process for cooling the wafer W (S336). The indexing robot 5220 takes the wafer from the first cooling chamber 5340 and transports the wafer to the container 2000 (S338).

當選定在該第二模組5402中處理該晶圓W時,該第二機器人5482自該第二緩衝區5330取出該晶圓W,並將該晶圓W運送至該第二模組5402之烘烤室5470(S360)。該烘烤室5470順序執行後烘製程及冷卻製程(S362)。該第二機器人5482自該烘烤室5470取出該晶圓W並將該晶圓W運送至該顯像室5460(S364)。在該顯像室5460中,該顯影溶液被塗佈到該晶圓W上(S366)。下一步,該第二機器人5482將該晶圓W自該顯像室5460運送至該烘烤室5470(S368)。該烘烤室5470對 該晶圓W執行硬烤製程(S370)。When the wafer W is selected to be processed in the second module 5402, the second robot 5482 extracts the wafer W from the second buffer 5330 and transports the wafer W to the second module 5402. Baking chamber 5470 (S360). The baking chamber 5470 sequentially performs a post-drying process and a cooling process (S362). The second robot 5482 extracts the wafer W from the baking chamber 5470 and transports the wafer W to the developing chamber 5460 (S364). In the developing chamber 5460, the developing solution is applied onto the wafer W (S366). Next, the second robot 5482 transports the wafer W from the developing chamber 5460 to the baking chamber 5470 (S368). The baking chamber 5470 pairs The wafer W is subjected to a hard baking process (S370).

該第二機器人5482自該烘烤室5470取出該晶圓W並將該晶圓W運送至該第二冷卻室5350(S372)。該第二冷卻室5350對該晶圓W執行冷卻製程(S374)。該分度機器人5220將該晶圓W自該第二冷卻室5350運送至該容器2000(S376)。The second robot 5482 extracts the wafer W from the baking chamber 5470 and transports the wafer W to the second cooling chamber 5350 (S372). The second cooling chamber 5350 performs a cooling process on the wafer W (S374). The indexing robot 5220 transports the wafer W from the second cooling chamber 5350 to the container 2000 (S376).

以下將說明該顯像單元5000之各種修改實例。Various modified examples of the developing unit 5000 will be described below.

該處理模組5400可僅包含一模組,而不是設置於不同層之所述第一和第二模組5401、5402。The processing module 5400 may include only one module instead of the first and second modules 5401, 5402 disposed in different layers.

此外,複數個第一冷卻室5340及複數個第二冷卻室5350在該分度模組5200中相互堆疊。In addition, a plurality of first cooling chambers 5340 and a plurality of second cooling chambers 5350 are stacked on each other in the indexing module 5200.

此外,可在該緩衝模組5300中不提供所述第一和第二冷卻室5340、5350。在此情況下,該晶圓W可藉由該第一機器人5432自該第一模組5401直接傳送至該第一緩衝區5320,且該分度機器人5220可將儲存於該第一緩衝區5320中之所述晶圓W運送至該容器2000。此外,該晶圓W可藉由該第二機器人5482自該第二模組5402直接傳送至該第二緩衝區5330,且該分度機器人5220可將儲存於該第二緩衝區5330中之所述晶圓W運送至該容器2000。Further, the first and second cooling chambers 5340, 5350 may not be provided in the buffer module 5300. In this case, the wafer W can be directly transferred from the first module 5401 to the first buffer 5320 by the first robot 5432, and the indexing robot 5220 can be stored in the first buffer 5320. The wafer W is transported to the container 2000. In addition, the wafer W can be directly transferred from the second module 5402 to the second buffer 5330 by the second robot 5482, and the indexing robot 5220 can store the second buffer 5330 in the second buffer 5330. The wafer W is transported to the container 2000.

此外,在該緩衝模組5300中,可交換該第一緩衝區5320及該第一冷卻室5340之位置。在該緩衝模組5300中,亦可交換該第二緩衝區5330及該第二冷卻室5350之位置。In addition, in the buffer module 5300, the positions of the first buffer 5320 and the first cooling chamber 5340 can be exchanged. In the buffer module 5300, the positions of the second buffer 5330 and the second cooling chamber 5350 may also be exchanged.

此外,該緩衝模組5300可具有與該處理模組5400相同之高度。在此情況下,該分度機器人5220可直接將所述晶圓W運送至該第一緩衝區5320。In addition, the buffer module 5300 can have the same height as the processing module 5400. In this case, the indexing robot 5220 can directly transport the wafer W to the first buffer zone 5320.

此外,可在該處理模組5400中執行不同於上述製程的其他製程。Additionally, other processes than the ones described above can be performed in the processing module 5400.

根據第一圖之具體實施例,該基板處理設備設計成便於獨立提供用於執行該塗佈製程之製程、用於執行該顯像製程之單 元、以及與該曝光單元9000連接成一直線以執行該曝光前/曝光後處理製程之單元。因此,不同於在其中一用於同時執行該塗佈及顯像製程之模組與該曝光單元9000成直線配備之設備,第一圖之具體實施例之基板處理系統之塗佈及顯像單元3000、5000可連續執行其製程(甚至當在該曝光單元9000中執行所述製程需要很長時間且因此晶圓W被壅塞時)。According to a specific embodiment of the first figure, the substrate processing apparatus is designed to facilitate independent provision of a process for performing the coating process, for performing the development process And a unit connected to the exposure unit 9000 in a line to perform the pre-exposure/post-exposure processing. Therefore, unlike the apparatus in which one of the modules for simultaneously performing the coating and developing process is disposed in line with the exposure unit 9000, the coating and developing unit of the substrate processing system of the first embodiment of the first embodiment 3000, 5000 can continuously perform its process (even when it takes a long time to perform the process in the exposure unit 9000 and thus the wafer W is jammed).

第十四A圖及第十四G圖係說明用於在一形成於該晶圓W上之薄膜上形成一圖案之順序製程之視圖。The fourteenth A and fourteenth G diagrams illustrate a sequential process for forming a pattern on a film formed on the wafer W.

首先,在一沉積單元(未顯示)中,在該晶圓W上沈積一薄膜102(參見第十四A圖)。該晶圓W被傳送至該塗佈單元3000。在該塗佈單元3000中,在該晶圓W上塗佈一光阻劑104(參見第十四B圖)。在該塗佈單元3000中,進一步處理其他製程,諸如烘烤製程、邊緣曝光製程,諸如此類。下一步,該晶圓W被傳送至該曝光前後處理單元4000。在該曝光前後處理單元4000之第一模組4401中,在該晶圓W上塗佈該保護層106(參見第十四C圖)。如前所述,於該第一模組4401中進一步執行其他製程,諸如烘烤製程,諸如此類。該晶圓W被運送至該曝光單元9000。該曝光單元9000將光照射至該保護層106及該光阻劑104上之一選定區域108,以變更該選定區域108之特性(參見第十四D圖)。所述曝光前後處理單元4000之第二模組4402執行清潔製程、曝光後烘烤製程,諸如此類。在該曝光後烘烤過程中,移除殘留於該晶圓W上之清潔液體。下一步,該晶圓W被傳送至該顯像單元5000。在該顯像單元5000中,移除其特性發生變更之保護層106及該光阻劑104之選定區域108(參見第十四E圖)。如前所述,除該顯像製程之外,所述顯像單元5000進一步還執行其他製程,諸如烘烤製程,諸如此類。下一步,該晶圓W被傳送至蝕刻單元(未顯示)。在該蝕刻單元中,移除該薄膜之曝光區 域103(參見第十四F圖)。下一步,該晶圓W被傳送至灰化單元(未顯示)。在該灰化單元中,移除殘留於該薄膜上之光阻劑104及該保護層106(參見第十四G圖)。當在該沉積單元,該塗佈單元3000、該曝光前後處理單元4000、該顯像單元5000、該蝕刻單元、及該灰化單元之間傳送該晶圓時,可進一步執行其他製程,諸如用於清潔該晶圓W之製程,諸如此類。First, a film 102 is deposited on the wafer W in a deposition unit (not shown) (see Fig. 14A). This wafer W is transferred to the coating unit 3000. In the coating unit 3000, a photoresist 104 is coated on the wafer W (see FIG. 14B). In the coating unit 3000, other processes such as a baking process, an edge exposure process, and the like are further processed. Next, the wafer W is transferred to the pre- and post-exposure processing unit 4000. In the first module 4401 of the pre- and post-exposure processing unit 4000, the protective layer 106 is coated on the wafer W (see FIG. 14C). As described above, other processes, such as a baking process, and the like are further performed in the first module 4401. The wafer W is transported to the exposure unit 9000. The exposure unit 9000 irradiates light to the protective layer 106 and a selected region 108 on the photoresist 104 to change the characteristics of the selected region 108 (see FIG. 14D). The second module 4402 of the pre- and post-exposure processing unit 4000 performs a cleaning process, a post-exposure bake process, and the like. During the post-exposure baking process, the cleaning liquid remaining on the wafer W is removed. Next, the wafer W is transferred to the developing unit 5000. In the developing unit 5000, the protective layer 106 whose characteristics are changed and the selected region 108 of the photoresist 104 are removed (see FIG. 14E). As described above, in addition to the developing process, the developing unit 5000 further performs other processes such as a baking process, and the like. Next, the wafer W is transferred to an etching unit (not shown). In the etching unit, the exposed area of the film is removed Field 103 (see Figure 14F). Next, the wafer W is transferred to an ashing unit (not shown). In the ashing unit, the photoresist 104 and the protective layer 106 remaining on the film are removed (see FIG. 14G). When the wafer is transferred between the deposition unit, the coating unit 3000, the pre-exposure processing unit 4000, the developing unit 5000, the etching unit, and the ashing unit, other processes may be further performed, such as For the process of cleaning the wafer W, and the like.

根據所述具體實施例,可有效地執行該光刻製程。According to the specific embodiment, the lithography process can be performed efficiently.

此外,可增加該塗佈單元及該顯像單元中之產出率。Further, the yield ratio in the coating unit and the developing unit can be increased.

此外,當使用化學增幅型光阻劑時,可快速執行該曝光後烘烤製程。Further, when a chemically amplified photoresist is used, the post-exposure baking process can be quickly performed.

此外,由於可藉由在該曝光後烘烤單元中增幅酸來移除殘留於該基板上之清潔液體,而無需在該清潔室中使用一單獨乾燥噴嘴,所以可縮短處理時間。Further, since the cleaning liquid remaining on the substrate can be removed by increasing the acid in the post-exposure baking unit without using a separate drying nozzle in the cleaning chamber, the processing time can be shortened.

此外,由於保護層在顯像製程及灰化製程中被移除,而無需在該曝光前後處理單元中使用一單獨保護可移除室,因此可縮短該處理時間。In addition, since the protective layer is removed in the developing process and the ashing process without using a separate protective removable chamber in the pre- and post-exposure processing unit, the processing time can be shortened.

以上所揭示之標的應被視為示意性的,而非限制性的,且所附申請專利範圍意欲涵蓋落人本發明之真正精神及範圍內之所有此等修改、增強及其他具體實施例。因此,在法律容許之最大範圍內,本發明之範圍係藉由以下申請專利範圍之所述最寬泛可允許解釋及其等效項來判定,且不應受上述詳細說明之約束或限制。The above-disclosed subject matter is intended to be illustrative, and not restrictive, and the scope of the appended claims. The scope of the present invention is to be construed as being limited by the claims

12‧‧‧第一方向12‧‧‧First direction

14‧‧‧第二方向14‧‧‧second direction

2000‧‧‧容器2000‧‧‧ Container

4000‧‧‧曝光前後處理單元4000‧‧‧Pre-exposure processing unit

4100‧‧‧裝載口4100‧‧‧Load port

4120‧‧‧裝載台4120‧‧‧Loading station

4200‧‧‧分度模組4200‧‧‧Dimension Module

4210‧‧‧框架4210‧‧‧Frame

4220‧‧‧分度機器人4220‧‧‧ Indexing robot

4221‧‧‧手4221‧‧‧Hand

4222‧‧‧臂4222‧‧‧ Arm

4223‧‧‧支撐物4223‧‧‧Support

4224‧‧‧基座4224‧‧‧Base

4230‧‧‧導軌4230‧‧‧rail

4300‧‧‧緩衝模組4300‧‧‧buffer module

4310‧‧‧框架4310‧‧‧Frame

4320‧‧‧第一緩衝區4320‧‧‧ first buffer

4360‧‧‧緩衝區機器人4360‧‧‧ Buffer Robot

4361‧‧‧手4361‧‧‧Hand

4362‧‧‧臂4362‧‧‧ Arm

4363‧‧‧支撐物4363‧‧‧Support

4400‧‧‧處理模組4400‧‧‧Processing Module

4410‧‧‧保護層塗佈室4410‧‧‧Protective coating room

4411‧‧‧外殼4411‧‧‧Shell

4412‧‧‧支撐板4412‧‧‧Support board

4413‧‧‧噴嘴4413‧‧‧Nozzles

4421‧‧‧冷卻板4421‧‧‧Cooling plate

4422‧‧‧加熱板4422‧‧‧heating plate

4432‧‧‧第一機器人4432‧‧‧First robot

4434‧‧‧臂4434‧‧‧ Arm

4435‧‧‧支撐物4435‧‧‧Support

4500‧‧‧介面模組4500‧‧‧Interface module

4510‧‧‧框架4510‧‧‧Frame

4520‧‧‧第一緩衝區4520‧‧‧First buffer zone

4540‧‧‧介面機器人4540‧‧‧Interface robot

9000‧‧‧曝光單元9000‧‧‧Exposure unit

C‧‧‧方向「C」C‧‧‧ Direction "C"

D‧‧‧方向「D」D‧‧‧ Direction "D"

w‧‧‧晶圓W‧‧‧ wafer

Claims (16)

一種基板處理系統,其包括:一塗佈單元,其用於對基板執行一塗佈製程;一曝光前後處理單元,其連接至一曝光單元,以用於對已在該塗佈單元中處理之基板執行一曝光製程及執行一曝光前/曝光後處理製程;及一顯像單元,其用於對已在該曝光前後處理單元中處理之基板執行一顯像製程,其中,該塗佈單元、該曝光前後處理單元及該顯像單元之每一者包括:一裝載口,於其上設置一收納基板之容器;一分度模組,其用於自該容器取出該基板或將該基板運送至該容器;及一處理模組,其用於在該基板上執行一預定製程,其中,該裝載口、該分度模組及該處理模組按順序配置;及該曝光前後處理單元更包括:一連接至該曝光單元之介面模組,其中,該介面模組設置於該處理模組之一側,且該分度模組設置於該處理模組之另一側;及一緩衝模組,該緩衝模組設置於該分度模組與該處理模組之間,其中,該裝載口、該分度模組、該處理模組及該介面模組按順序配置在一第一方向上,該裝載口在一第二方向上延伸之直線上提供及配置複數個裝載台,及該緩衝模組包含一框架、一第一緩衝區、一第二緩衝區、一冷卻室及一緩衝區機器人,且該第二緩衝區、該冷卻室及該第一緩衝區沿一第三方向向上順序配置。 A substrate processing system comprising: a coating unit for performing a coating process on a substrate; an exposure before and after processing unit coupled to an exposure unit for processing in the coating unit The substrate performs an exposure process and performs an pre-exposure/exposure post-processing process; and a developing unit for performing a developing process on the substrate that has been processed in the pre-exposure processing unit, wherein the coating unit, Each of the pre-exposure processing unit and the developing unit includes: a loading port on which a container for accommodating the substrate is disposed; an indexing module for taking out the substrate from the container or transporting the substrate And a processing module for performing a predetermined process on the substrate, wherein the loading port, the indexing module and the processing module are sequentially arranged; and the pre- and post-exposure processing unit further comprises The interface module is connected to the interface module of the exposure unit, wherein the interface module is disposed on one side of the processing module, and the indexing module is disposed on the other side of the processing module; and a buffer module , slow The punching module is disposed between the indexing module and the processing module, wherein the loading port, the indexing module, the processing module, and the interface module are sequentially disposed in a first direction, The load port provides and configures a plurality of loading stations on a line extending in a second direction, and the buffer module includes a frame, a first buffer, a second buffer, a cooling chamber, and a buffer robot. And the second buffer, the cooling chamber and the first buffer are sequentially arranged in a third direction. 如申請專利範圍第1項所述之基板處理系統,其中, 所述曝光前後處理單元之處理模組包含設置於不同層之第一模組和第二模組。 The substrate processing system of claim 1, wherein The processing module of the pre-exposure processing unit includes a first module and a second module disposed in different layers. 如申請專利範圍第2項所述之基板處理系統,其中,該第一模組包括:一保護層塗佈室,其用於將一保護層塗佈於該基板之上;一烘烤室,其用於對該基板執行一熱處理;及一第一機器人,其用於在該保護層塗佈室與該烘烤室之間傳送該基板。 The substrate processing system of claim 2, wherein the first module comprises: a protective layer coating chamber for applying a protective layer on the substrate; a baking chamber, It is used to perform a heat treatment on the substrate; and a first robot for transferring the substrate between the protective layer coating chamber and the baking chamber. 如申請專利範圍第3項所述之基板處理系統,其中,該第二模組更包括一用於清潔該基板之清潔室。 The substrate processing system of claim 3, wherein the second module further comprises a clean room for cleaning the substrate. 如申請專利範圍第4項所述之基板處理系統,其中,該第二模組包括:一曝光後烘烤室,其用於對已曝光之基板執行一曝光後烘烤;及一第二機器人,其用於在該清潔室與該曝光後烘烤室之間傳送該基板。 The substrate processing system of claim 4, wherein the second module comprises: an exposure post-baking chamber for performing an exposure post-baking on the exposed substrate; and a second robot It is used to transfer the substrate between the clean room and the post-exposure bake chamber. 如申請專利範圍第2項所述之基板處理系統,其中,該第一緩衝區設置於一對應於該第一模組之高度且臨時儲存該基板;及該第二緩衝區設置於一對應於該第二模組之高度且臨時儲存該基板。 The substrate processing system of claim 2, wherein the first buffer is disposed at a height corresponding to the first module and temporarily stores the substrate; and the second buffer is disposed in a corresponding The height of the second module temporarily stores the substrate. 如申請專利範圍第6項所述之基板處理系統,其中,所述第一和第二緩衝區相互堆疊,且所述第一和第二緩衝區之每一者包括複數個支撐物。 The substrate processing system of claim 6, wherein the first and second buffers are stacked on each other, and each of the first and second buffers includes a plurality of supports. 如申請專利範圍第1項所述之基板處理系統,其中,該緩衝區機器人用於在所述第一和第二緩衝區之間傳送該基板。 The substrate processing system of claim 1, wherein the buffer robot is configured to transfer the substrate between the first and second buffers. 如申請專利範圍第2項所述之基板處理系統,其中,該緩衝模組設置於一對應於該第一模組之高度,且該冷卻室用於冷卻該基板。 The substrate processing system of claim 2, wherein the buffer module is disposed at a height corresponding to the first module, and the cooling chamber is configured to cool the substrate. 如申請專利範圍第2項所述之基板處理系統,其中,該介面模組包括:一第一緩衝區,其設置於一對應於該第一模組之高度且臨時儲存基板;一第二緩衝區,其設置於一對應於該第二模組之高度且臨時儲存該基板;及一介面機器人,其用於在該曝光單元與該第二緩衝區之間以及在該第二緩衝區與該曝光單元之間傳送該基板。 The substrate processing system of claim 2, wherein the interface module comprises: a first buffer region disposed at a height corresponding to the height of the first module and temporarily storing the substrate; a second buffer a region disposed at a height corresponding to the second module and temporarily storing the substrate; and an interface robot for using between the exposure unit and the second buffer and in the second buffer The substrate is transferred between the exposure units. 如申請專利範圍第1至10項中任一項所述之基板處理系統,其中,該塗佈單元更包括一邊緣曝光模組,其中該邊緣曝光模組設置於該處理模組之一側,且該分度模組設置於該處理模組之另一側。 The substrate processing system of any one of claims 1 to 10, wherein the coating unit further comprises an edge exposure module, wherein the edge exposure module is disposed on one side of the processing module, And the indexing module is disposed on the other side of the processing module. 一種基板處理方法,其包括:在基板上塗佈光阻劑;在已於其上塗佈光阻劑之基板上塗佈一保護層;對已於其上塗佈該保護層之基板執行一液體浸潤微影製程;清潔已在該液體浸潤微影製程中處理之基板;及對該基板執行一顯像製程,其中,該保護層之塗佈及該基板之清潔,係在一曝光前後處理單元中執行,該曝光前後處理單元與一用於執行該液體浸潤微影製程之曝光單元連接成一直線;該光阻劑之塗佈係在一塗佈單元中執行,該塗佈單元與該 曝光前後處理單元分隔;該顯像製程之執行係實現於一與該曝光前後處理單元分隔之塗佈單元中;及該保護層之一部分係在該顯像製程中被移除,而其餘部分係在一灰化製程中被移除。 A substrate processing method comprising: coating a photoresist on a substrate; coating a protective layer on the substrate on which the photoresist has been applied; and performing a substrate on the substrate on which the protective layer is coated a liquid immersion lithography process; cleaning a substrate that has been processed in the liquid immersion lithography process; and performing a development process on the substrate, wherein the coating of the protective layer and the cleaning of the substrate are processed before and after exposure Executing in the unit, the pre-exposure processing unit is connected in line with an exposure unit for performing the liquid infiltration lithography process; the coating of the photoresist is performed in a coating unit, and the coating unit is Separating the processing unit before and after the exposure; the execution of the developing process is implemented in a coating unit separated from the pre-exposure processing unit; and a portion of the protective layer is removed in the developing process, and the rest is Removed in a graying process. 如申請專利範圍第12項所述之基板處理方法,其更包括在清潔該基板之後且在對該基板執行該顯像製程之前,對該基板執行一曝光後烘烤製程。 The substrate processing method of claim 12, further comprising performing an exposure post-baking process on the substrate after cleaning the substrate and before performing the developing process on the substrate. 如申請專利範圍第13項所述之基板處理方法,其中,該基板之清潔係藉由將清潔液體供應至該基板來執行;及殘留於該基板上之清潔液體,係藉由加熱該基板來移除,而無需藉由供應流體來乾燥該基板。 The substrate processing method of claim 13, wherein the cleaning of the substrate is performed by supplying a cleaning liquid to the substrate; and the cleaning liquid remaining on the substrate is heated by the substrate. It is removed without the need to supply the fluid to dry the substrate. 如申請專利範圍第13項所述之基板處理方法,其中,該基板之清潔係藉由將清潔液體供應至該基板來執行;及殘留於該基板上之清潔液體,係在緊接該基板之清潔之後執行之曝光後烘烤製程中被移除。 The substrate processing method of claim 13, wherein the cleaning of the substrate is performed by supplying a cleaning liquid to the substrate; and the cleaning liquid remaining on the substrate is immediately adjacent to the substrate It is removed during the post-exposure baking process performed after cleaning. 如申請專利範圍第12項所述之基板處理方法,其中,該保護層係在該曝光前後處理單元之一外側被移除。 The substrate processing method of claim 12, wherein the protective layer is removed outside one of the pre- and post-exposure processing units.
TW102133483A 2009-01-30 2010-01-29 System and method for treating substrate TWI509371B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20090007626 2009-01-30
KR1020090027373A KR101109074B1 (en) 2009-01-30 2009-03-31 System and method for treating substrates

Publications (2)

Publication Number Publication Date
TW201415173A TW201415173A (en) 2014-04-16
TWI509371B true TWI509371B (en) 2015-11-21

Family

ID=42754716

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099102594A TWI424278B (en) 2009-01-30 2010-01-29 Exposure treatment unit
TW102133483A TWI509371B (en) 2009-01-30 2010-01-29 System and method for treating substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099102594A TWI424278B (en) 2009-01-30 2010-01-29 Exposure treatment unit

Country Status (2)

Country Link
KR (2) KR101109074B1 (en)
TW (2) TWI424278B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101313656B1 (en) * 2011-08-29 2013-10-02 주식회사 케이씨텍 In-line apparatus for developing and method of fabricating liquid crystal display device using thereof
US10409174B2 (en) * 2014-06-16 2019-09-10 Asml Netherlands B.V. Lithographic apparatus, method of transferring a substrate and device manufacturing method
KR102316618B1 (en) * 2014-12-30 2021-10-22 세메스 주식회사 Buffer unit, Apparatus for treating a substrate including the unit
KR101736854B1 (en) 2015-10-29 2017-05-17 세메스 주식회사 Substrate treating apparatus
KR102262113B1 (en) * 2018-12-18 2021-06-11 세메스 주식회사 Apparatus and method for treating substrate
CN113814113A (en) * 2020-07-17 2021-12-21 常州铭赛机器人科技股份有限公司 Feeding and discharging mechanism of multi-station dispenser and multi-station dispenser with feeding and discharging mechanism

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019411A (en) * 2004-06-30 2006-01-19 Pioneer Electronic Corp Buffer device and substrate processing device
JP2007189139A (en) * 2006-01-16 2007-07-26 Sokudo:Kk Substrate-treating device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3857097B2 (en) * 2001-09-21 2006-12-13 大日本スクリーン製造株式会社 Substrate processing system
KR100935286B1 (en) * 2002-06-07 2010-01-06 도쿄엘렉트론가부시키가이샤 Substrate processing device and developing device
JP4566035B2 (en) * 2005-03-11 2010-10-20 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
JP4522329B2 (en) * 2005-06-24 2010-08-11 株式会社Sokudo Substrate processing equipment
JP4771816B2 (en) * 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 Substrate processing equipment
JP2008198820A (en) * 2007-02-14 2008-08-28 Tokyo Electron Ltd Substrate processing method and substrate processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006019411A (en) * 2004-06-30 2006-01-19 Pioneer Electronic Corp Buffer device and substrate processing device
JP2007189139A (en) * 2006-01-16 2007-07-26 Sokudo:Kk Substrate-treating device

Also Published As

Publication number Publication date
KR20110135898A (en) 2011-12-20
KR101109074B1 (en) 2012-02-20
TWI424278B (en) 2014-01-21
KR20100088505A (en) 2010-08-09
TW201415173A (en) 2014-04-16
TW201028800A (en) 2010-08-01

Similar Documents

Publication Publication Date Title
JP5181306B2 (en) Substrate processing system, pre- and post-exposure processing unit, and substrate processing method
TWI509371B (en) System and method for treating substrate
JP2010177673A (en) Apparatus and method for treating substrate
TWI466214B (en) Apparatus and method for treating substrate
TWI326805B (en) Coating and developing apparatus and coating and developing method
CN100570484C (en) Coating, developing apparatus and method thereof
KR20140018129A (en) Coating treatment method and coating treatment apparatus
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
JP2009147198A (en) Substrate processing method, and substrate processing apparatus
US8697187B2 (en) Coating treatment method and coating treatment apparatus
KR101915479B1 (en) Substrate treating apparatus and substrate treating method
KR102533056B1 (en) Method and Apparatus for treating substrate
KR102054221B1 (en) Apparatus for transferring substrate and Apparatus for treating substrate with it
KR102175077B1 (en) Transfer robot and Apparatus for treating substrate with the robot
KR102037921B1 (en) Apparatus and Method for treating substrate
KR20130025133A (en) Apparatus for treating substrate
KR102119688B1 (en) Apparatus for treating substrate
KR102037920B1 (en) Heating unit
KR102397847B1 (en) Pipe assembly and Apparatus for treaing substrate with the assembly
KR102467056B1 (en) Apparatus and Method for treating substrate
KR102343640B1 (en) Apparatus for treating substrate
KR20230164978A (en) Substrate processing apparatus
TW201820409A (en) Developing apparatus, substrate processing apparatus, developing method and substrate processing method
KR20210021184A (en) Apparatus for treating substrate
KR20200052078A (en) Apparatus for treating substrate