TWI467636B - 提供用於可自我組合聚合物之圖案化定向模板之方法 - Google Patents

提供用於可自我組合聚合物之圖案化定向模板之方法 Download PDF

Info

Publication number
TWI467636B
TWI467636B TW101136581A TW101136581A TWI467636B TW I467636 B TWI467636 B TW I467636B TW 101136581 A TW101136581 A TW 101136581A TW 101136581 A TW101136581 A TW 101136581A TW I467636 B TWI467636 B TW I467636B
Authority
TW
Taiwan
Prior art keywords
self
substrate
graphite
graphite epitaxial
resist
Prior art date
Application number
TW101136581A
Other languages
English (en)
Other versions
TW201320154A (zh
Inventor
沙恩 川 恩古言
喬澤夫 瑪利亞 芬德斯
威赫木斯 賽巴斯汀納 馬寇斯 馬力亞 凱特拉斯
山達 佛芮得瑞克 威斯特
德 海登 愛迪 康那力斯 安東尼司 凡
席洛倪莫斯 尤漢思 克力斯帝昂 梅森
羅伊洛夫 庫爾
愛蜜麗 彼得斯
西取 克利斯丁納 馬汀納斯 凡
歐瑞利 馬力 安德利 布瑞薩德
亨利 馬利 喬瑟夫 布慈
塔瑪拉 卓吉妮雅
瑞提 潔西卡 笛
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201320154A publication Critical patent/TW201320154A/zh
Application granted granted Critical
Publication of TWI467636B publication Critical patent/TWI467636B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B1/00Single-crystal growth directly from the solid state
    • C30B1/12Single-crystal growth directly from the solid state by pressure treatment during the growth
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Graft Or Block Polymers (AREA)

Description

提供用於可自我組合聚合物之圖案化定向模板之方法
本發明係關於一種在一基板上之石墨磊晶模板(graphoepitaxy template),該石墨磊晶模板用以使一自我組合式嵌段聚合物在該基板之一表面上對準,且本發明係關於一種形成此石墨磊晶模板之方法。本發明亦係關於在此基板上形成一自我組合式聚合物層,及一種使用諸如沈積及組合於具備一石墨磊晶模板之此基板上之一嵌段共聚物之一自我組合式聚合物抗蝕劑層的器件微影方法。
在用於器件製造之微影中,一直需要縮減微影圖案中之特徵大小,以便增加給定基板區域上之特徵密度。具有處於奈米尺度之臨界尺寸(CD)之較小特徵的圖案允許對器件或電路結構之較大集中,從而在電子器件及其他器件之大小縮減及製造成本方面得到潛在改良。在光微影中,針對較小特徵之推進已引起諸如浸潤微影及極紫外線(EUV)微影之技術之開發。
所謂壓印微影通常涉及使用「印模」(常常被稱作壓印模板)以將圖案轉印至基板上。壓印微影之優點在於:特徵之解析度不受到(例如)輻射源之發射波長或投影系統之數值孔徑限制。取而代之,解析度主要限於壓印模板上之圖案密度。
對於光微影及壓印微影兩者,需要提供(例如)壓印模板或另一基板之表面之高解析度圖案化,且可使用化學抗蝕 劑以達成此情形。
使用嵌段共聚物(BCP)之自我組合已被認為是用於將解析度改良至比可藉由先前技術微影方法獲得之值更好之值的潛在方法,或被認為是用於製備壓印模板之電子束微影之替代例。
可自我組合嵌段共聚物為有用於奈米製作之化合物,此係因為其可在低於某一溫度(有序-無序轉變溫度TOD )的情況下冷卻時經歷有序-無序轉變,從而引起具有不同化學性質之共聚物嵌段之相分離以形成尺寸為數十奈米或甚至小於10奈米之有序化學相異域。可藉由操控共聚物之不同嵌段類型之分子量及組合物來控制該等域之大小及形狀。該等域之間的界面可具有大約1奈米至5奈米之寬度,且可藉由對共聚物之嵌段之化學組合物進行改質來操控該等界面。
使用嵌段共聚物薄膜作為自我組合模板之可行性已由Chaikin及Register等人論證(Science 276,第1401頁(1997年))。將尺寸為20奈米之圓點及孔緻密陣列自聚(苯乙烯-嵌段-異戊二烯)薄膜轉印至氮化矽基板。
嵌段共聚物包含不同嵌段,每一嵌段包含一或多個等同單體且沿著聚合物鏈並排地配置。每一嵌段可含有其各別類型之許多單體。因此,舉例而言,A-B嵌段共聚物可具有在該(或每一)A嵌段中之複數個類型A單體及在該(或每一)B嵌段中之複數個類型B單體。舉例而言,合適嵌段共聚物之實例為具有聚苯乙烯(PS)單體(疏水性嵌段)及聚甲 基丙烯酸甲酯(PMMA)單體(親水性嵌段)之共價鍵聯式嵌段之聚合物。具有疏水性/親水性不同之嵌段之其他嵌段共聚物可有用。舉例而言,三嵌段共聚物(A-B-C)可有用,如可為交替或週期性嵌段共聚物(例如,[-A-B-A-B-A-B-]n 或[-A-B-C-A-B-C]m ,其中n及m為整數)。該等嵌段係藉由共價鍵以直鏈或分支鏈方式(例如,星形或分支鏈組態)相互連接。
嵌段共聚物可在自我組合後即形成許多不同相,此取決於嵌段之體積分率、在每一嵌段類型內之聚合度(亦即,在每一各別嵌段內每一各別類型之單體之數目)、溶劑之選用使用,及表面相互作用。當在薄膜中應用幾何制約時,幾何制約可引起可限制相之數目之額外邊界條件。一般而言,實務上在自我組合式嵌段共聚物薄膜中觀測到球體(例如,立方體)相、圓柱形(例如,四邊形或六邊形)相及層狀相(亦即,具有立方體、六邊形或層狀空間填充對稱性之自我組合式相),且所觀測到之相類型可取決於不同聚合物嵌段之相對體積分率。
用作可自我組合聚合物之合適嵌段共聚物包括但不限於聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂鐵基二甲基甲矽烷)、聚(苯乙烯-b-環氧乙烷)、聚(環氧乙烷-b-異戊二烯)。符號「b」表示「嵌段」。儘管此等嵌段共聚物為二嵌段共聚物實例,但將顯而易見,自我組合亦可使用三嵌段、四嵌段或其他多嵌段共聚物。
自我組合式聚合物相可定向成使得對稱軸線平行於或垂直於基板且層狀相及圓柱形相為微影應用所關注,此係因為層狀相及圓柱形相可分別形成線圖案及間隔圖案以及孔陣列,且可在域類型中之一者隨後被蝕刻時提供良好對比度。
用以將諸如嵌段共聚物之聚合物之自我組合導引或引導至表面上的兩種方法為石墨磊晶及化學預圖案化(亦被稱為化學磊晶)。在石墨磊晶方法中,藉由基板之拓撲預圖案化來導引嵌段共聚物之自我組織。自我對準式嵌段共聚物可形成平行線性圖案,其中渠溝中之不同聚合物嵌段域之鄰近線係由圖案化基板界定。舉例而言,若嵌段共聚物為在聚合物鏈內具有A嵌段及B嵌段之二嵌段共聚物(其中A具親水性性質且B具疏水性性質),則A嵌段可在渠溝之側壁亦具親水性性質時組合成鄰近於該側壁而形成之域。解析度相比於圖案化基板之解析度可由於嵌段共聚物圖案再分該基板上之預圖案之間隔而得以改良。
在化學預圖案化方法(在本文中被稱作化學磊晶)中,藉由基板上之化學圖案(亦即,化學模板)來導引嵌段共聚物域之自我組合。化學圖案與聚合物鏈內之共聚物嵌段類型中至少一者之間的化學親和性可引起域類型中之一者至基板上之化學圖案之對應區帶上的精確置放(在本文中亦被稱作「牽制(pinning)」)。舉例而言,若嵌段共聚物為具有A嵌段及B嵌段之二嵌段共聚物(其中A具親水性性質且B具疏水性性質),且化學圖案包含在親水性表面上之疏水性 區帶,則B域可優先地組合至該疏水性區帶上。如同對準石墨磊晶方法一樣,解析度相比於圖案化基板之解析度可由於嵌段共聚物圖案再分該基板上之預圖案化特徵之間隔(所謂密度倍增)而得以改良。化學預圖案化不限於線性預圖案;舉例而言,預圖案可呈2-D圓點陣列之形式,其適合作為供圓柱形相形成嵌段共聚物使用之圖案。舉例而言,可使用石墨磊晶及化學預圖案化以導引層狀相或圓柱形相之自我組織,其中不同域類型並排地配置於基板之表面上。
在用以實施嵌段共聚物自我組合在奈米製作中之使用的程序中,作為化學預圖案或石墨磊晶模板之部分,可用中性定向控制層對基板進行改質,以誘發自我組合圖案相對於基板之較佳定向。對於用於可自我組合聚合物層中之一些嵌段共聚物,在嵌段中之一者與基板表面之間可存在可引起定向之優先相互作用。舉例而言,對於聚苯乙烯(PS)-b-PMMA嵌段共聚物,PMMA嵌段將優先地濕潤氧化物表面(亦即,具有與氧化物表面之高化學親和性),且此情形可用以誘發自我組合式圖案定向成平行於該表面之平面。舉例而言,可藉由如下操作來誘發垂直定向:將中性定向層沈積至表面上,從而致使基板表面對嵌段兩者呈中性,換言之,中性定向層針對每一嵌段具有相似化學親和性,使得嵌段兩者以相似方式濕潤該表面處之中性定向層。「垂直定向」意謂每一嵌段之域將並排地定位於基板表面 處,其中不同嵌段之域之間的界面區帶實質上垂直於該表面之平面。
中性表面有用於化學磊晶及石墨磊晶中。其可用於磊晶模板之特定定向區帶之間的表面上。舉例而言,在用於使二嵌段共聚物與A嵌段及B嵌段(其中A具親水性性質且B具疏水性性質)對準之化學磊晶模板中,化學圖案可包含疏水性牽制區帶,其中中性定向區帶係在該等疏水性區帶之間。B域可優先地組合至疏水性牽制區帶上,其中A嵌段及B嵌段之若干交替域係遍及化學預圖案之特定(牽制)定向區帶之間的中性區帶而對準。
舉例而言,在用於使此二嵌段共聚物對準之石墨磊晶模板中,圖案可包含疏水性抗蝕劑特徵,其中中性定向區帶係在該等疏水性抗蝕劑特徵之間。B域可優先地橫靠疏水性抗蝕劑特徵而組合,其中A嵌段及B嵌段之若干交替域係遍及石墨磊晶模板之特定(牽制)定向抗蝕劑特徵之間的中性定向區帶而對準。
舉例而言,可藉由使用藉由羥基末端基或某其他反應性端基之反應而共價地鍵聯至基板以在基板表面處氧化的無規共聚物刷來創製中性定向層。在用於中性定向層形成之其他配置中,可使用可交聯無規共聚物或適當矽烷(亦即,具有諸如(三)氯矽烷或(三)甲氧基矽烷之經取代反應性矽烷(亦被稱為矽烷基)端基之分子)以藉由充當基板表面與可自我組合聚合物層之間的中間層而致使表面呈中性。此以矽烷為基礎之中性定向層通常將作為單層而存在,而 可交聯聚合物通常不作為單層而存在且可具有通常小於或等於40奈米之層厚度。舉例而言,中性定向層可在其中具備一或多個間隙以准許可自我組合層之嵌段類型中之一者直接接觸在中性定向層下方之基板。此情形可有用於將可自我組合聚合物層之特定嵌段類型之域錨定、牽制或對準至基板,其中基板表面充當特定定向特徵。
可自我組合聚合物薄層可沈積至基板上、沈積至如上文所闡明之石墨磊晶或化學磊晶模板上。用於沈積可自我組合聚合物之合適方法為旋塗,此係因為此程序能夠提供經良好界定之均一可自我組合聚合物薄層。經沈積之可自我組合聚合物膜之合適層厚度為大約10奈米至100奈米。在沈積嵌段共聚物膜之後,該膜仍可無序或僅部分地有序,且可需要一或多個額外步驟以增進及/或完成自我組合。舉例而言,可自我組合聚合物可在自我組合之前在溶劑中沈積為溶液,其中溶劑係(例如)藉由蒸發而移除。
嵌段共聚物之自我組合為許多小組份(嵌段共聚物)之組合會引起較大之更複雜結構(自我組合式圖案中之奈米大小之特徵,在本說明書中被稱作域)之形成的程序。缺陷自然地起因於控制聚合物之自我組合之物理學。自我組合受到A-B嵌段共聚物之A/A、B/B及A/B(或B/A)嵌段對之間的相互作用差異(亦即,相互化學親和性差異)驅動,其中用於相分離之驅動力係由針對在考慮中之系統之佛-赫(Flory-Huggins)理論描述。化學磊晶或石墨磊晶之使用可極大地縮減缺陷形成。
對於經歷自我組合之聚合物,可自我組合聚合物將展現有序-無序溫度TOD 。TOD 可藉由用於評估聚合物之有序/無序狀態之任何合適技術(諸如,差示掃描熱量測定(DSC))量測。若在低於此溫度的情況下發生層形成,則分子將經驅動以自我組合。在高於溫度TOD 的情況下,將形成無序層,其中來自無序A/B域之熵貢獻勝過起因於該層中之相鄰A-A嵌段對與B-B嵌段對之間的有利相互作用之焓貢獻。可自我組合聚合物亦可展現玻璃轉變溫度Tg ,在低於Tg 的情況下聚合物有效地不動,且在高於Tg 的情況下共聚物分子仍可在層內相對於相鄰共聚物分子而再定向。玻璃轉變溫度係合適地藉由差示掃描熱量測定(DSC)量測。
如上文所闡明的在有序化期間所形成之缺陷可藉由退火部分地移除。諸如向錯(其為違反旋轉對稱性之線缺陷,例如,其中在指向矢(director)之定向上存在缺陷)之缺陷可藉由與具有相反正負號之另一其他缺陷或向錯配對予以消減。可自我組合聚合物之鏈行動性可為用於判定缺陷遷移及消減之因素,且因此,可在鏈行動性高但自我組合式有序圖案不會丟失的溫度下進行退火。此溫度暗示比聚合物之有序/無序溫度TOD 高或低至多幾℃的溫度。
可將有序化及缺陷消減組合成單一退火程序或可使用複數個程序,以便提供具有不同化學類型之域(不同嵌段類型之域)之有序圖案的自我組合式聚合物(諸如,嵌段共聚物)層以用作供微影用之抗蝕劑層。
為了將諸如器件架構或拓撲之圖案自自我組合式聚合物 層轉印至經沈積有自我組合式聚合物之基板中,通常將藉由所謂突破性蝕刻來移除第一域類型以將第二域類型之圖案提供於基板之表面上,其中基板裸露於第二域類型之圖案特徵之間。
在突破性蝕刻之後,可藉由使用一蝕刻方式之所謂轉印蝕刻來轉印圖案,該蝕刻方式受到第二域類型抵抗且因此在基板表面中形成已使該表面裸露之凹座。在此項技術中為吾人所知的轉印圖案之其他方法可適用於藉由嵌段共聚物之自我組合而形成之圖案。
PCT專利申請公開案第WO 2008/091714號揭示用於使用自我組合嵌段共聚物來製作呈二維正方形及矩形陣列之亞微影奈米尺度微結構之方法。所揭示之石墨磊晶特徵包含形成於實質上平行側壁之間的複數個開放式渠溝,其中該等渠溝之端部對準。渠溝之側壁及端部優先地濕潤聚合物域中之一者,其中地板充當中性濕潤表面。
在自我組合式嵌段共聚物系統中,很可能出於各種原因而存在缺陷。若由嵌段共聚物形成自我組合式陣列之域之有序化自基板之表面上之不同凝核位點起始,則可引起所得最終組合之結構失配。缺陷之典型實例包括組合式圖案中之不連續性及/或偽結晶配置中之位錯或遺漏單元(例如,六邊形相圖案中之遺漏圓柱)。除了缺陷度以外,起因於自高度重複性特徵之完美柵格之偏差的置放誤差亦為妨礙自我組合式嵌段共聚物之使用之障礙,尤其是針對諸如圓柱形相或立方體相或四邊形相之有序相用以提供(例 如)用於在基板上提供接點之2-D特徵陣列的情境。
對於在基板上提供二維(2-D)陣列之自我組合式嵌段共聚物,通常將存在平行於笛卡爾(Cartesian)y軸而延伸之彼此藉由一連續第二域分離的不連續第一域之平行列。通常,將不存在垂直於基板之表面(亦即,沿著笛卡爾z軸)之週期性。可在基板上形成於界定笛卡爾y軸之石墨磊晶側壁之間的渠溝側壁之間達成平行列之定向。然而,沿著y軸的不連續域之置放可能未受到良好地控制。對於圓柱形自我組合式相,不連續第一域將為圓柱且平行於y軸之鄰近列將具有相對於彼此而偏移之圓柱,使得圓柱之平行列將與平行於y軸而對準的圓柱之列成60°。
對於諸如積體電路(IC)及硬碟機之奈米製作之許多應用,此不連續域之置放誤差應小(比如,3奈米或更小)。可藉由判定實際不連續域自假想完美自我組合式2-D陣列之對應完美柵格點之偏差來量化置放誤差。
因此,需要提供一種可精確地控制自我組合式嵌段共聚物之不連續第一域之置放的方法。亦需要提供一種引起沿著y軸方向之僅小間距變化(換言之,幫助確保不連續第一域沿著y軸方向精確均勻地間隔)之方法。
舉例而言,需要提供一種在基板表面上之石墨磊晶模板,該石墨磊晶模板用於導引經配置以在基板表面上自我組合成2-D陣列以用作適合供器件微影中使用之抗蝕劑層之自我組合式嵌段共聚物層,該石墨磊晶模板處理或克服此項技術中之問題中之一或多者。詳言之,舉例而言,需 要提供一種實現此2-D陣列相對於基板之準確置放之石墨磊晶模板。
無論何時適當,術語「包含」之使用皆亦可被視為包括「基本上由...組成」之涵義且亦包括「由...組成」之涵義。
根據本發明之一態樣,提供一種在一基板上之石墨磊晶模板,該石墨磊晶模板用以使一自我組合式嵌段聚合物在該基板之一表面上對準,其中該嵌段共聚物經調適以自我組合成包含不連續第一域之平行列之一2-D陣列,該等平行列平行於一笛卡爾y軸而延伸、沿著一正交笛卡爾x軸而相互間隔且係藉由一連續第二域而分離,該石墨磊晶模板包含: 第一實質上平行側壁及第二實質上平行側壁,其平行於該y軸而延伸且界定該y軸且沿著該x軸而相互間隔以提供一隔室,該隔室經調適以將該基板上之不連續第一域之至少一列固持於該等側壁之間且固持成平行於該等側壁,且係藉由該連續第二域而與該至少一列分離, 其中該隔室包含經配置以將該等不連續第一域中至少一者定位於該隔室內之一特定位置處之一石墨磊晶凝核特徵。
此態樣係與一基板上之一石墨磊晶模板有關。該模板係用於使一自我組合式嵌段聚合物在該基板之一表面上對準,其中該嵌段共聚物經調適以自我組合成包含不連續第一域之平行列之一2-D陣列,該等平行列平行於一笛卡爾y 軸而延伸、沿著一正交笛卡爾x軸而相互間隔且係藉由一連續第二域而分離。該等不連續第一域將含有該嵌段共聚物之聚合物嵌段中之一者,其中該第二連續域含有其他嵌段中之一者。
該石墨磊晶模板包含第一實質上平行側壁及第二實質上平行側壁,該等側壁平行於該笛卡爾y軸而延伸且界定該笛卡爾y軸且沿著經設定成正交(亦即,與該y軸成90°)之該x軸而相互間隔以提供一隔室,該隔室經調適以將該基板上之不連續第一域之至少一列固持於該等側壁之間且固持成平行於該等側壁,且係藉由該連續第二域而與該至少一列分離。
舉例而言,若嵌段共聚物經調適以在基板之表面上薄層之自我組合後即形成圓柱形相,其中圓柱保持其長軸垂直於基板之表面,則圓柱將為不連續第一域,其中第二連續域在不連續第一域之間。圓柱將經配置成以一或若干列平行於側壁而形成。
通常,自我組合式陣列可經配置為使得經隔開之不連續第一域之列具有沿著x軸而量測之間距Lx ,其中經隔開之每一列內之不連續域具有如沿著y軸而量測之間距Ly 。平行側壁可合適地隔開,使得存在平行於y軸之不連續第一域之至少一列,比如,自1至20,諸如,2至10。適用間隔將可自對不連續第一域之間的間隔之間距之認識予以判定,該間距可(例如)藉由電子顯微法量測。然而,平行側壁之間的間隔可能並非確切地為n.Lx ,其中n為整數(比 如,自1至20),此取決於嵌段共聚物如何確切地配置於該等側壁處。舉例而言,所需要之間隔可為(n.Lx +2.d),其中d表示平行側壁處之轉變區帶之厚度。可針對側壁及嵌段共聚物之任何特定配置藉由隨著平行側壁之間的間隔系統地變化而依據平行側壁之間的間隔來標繪測定間距而量測d之值。舉例而言,對於一些嵌段共聚物,d之值可為約5奈米。亦可使用術語「零值區(dead zone)」來指代此轉變區帶。當設計根據本發明之一實施例之石墨磊晶模板的尺寸時,應適當地考量一或多個此等轉變區帶或「零值區」。
由平行側壁界定之隔室包含經配置以將不連續第一域中至少一者定位於隔室內之特定位置處之石墨磊晶凝核特徵。換言之,除了為經定位成平行於側壁之不連續域之列的部分以外,不連續第一域中至少一者亦按位置沿著y軸而定位於由石墨磊晶凝核特徵判定之位置處。
「實質上平行」意謂側壁係沿著其長度而平行,惟諸如可由隔室中之石墨磊晶凝核特徵之存在造成的微小偏差除外。
通常,石墨磊晶模板之側壁將使得側壁具有針對組合式嵌段共聚物之不連續第一域之高化學親和性及針對組合式嵌段共聚物之連續第二域之低化學親和性。然而,可顛倒該情形,其中側壁具有針對不連續第一域之低化學親和性及針對第二連續域之高化學親和性。側壁之間的間隔將使得自我組合式嵌段共聚物可呈現其在該等壁內之平衡狀態 而無不當應變。因此,若不連續第一域係由PMMA製成,則側壁之材料可經選擇為(例如)將由PMMA濕潤的具有針對PMMA之高化學親和性之材料,諸如,氫矽酸鹽類(hydrogen silsesquioxane)。
為了促使不連續第一域與其垂直於基板之表面之長軸對準,基板之表面可合適地具有朝向不連續第一域及第二連續域兩者之中性化學親和性。
在一合適配置中,石墨磊晶凝核特徵可為第一側壁及/或第二側壁中之流槽。流槽可經塑形以將至少一不連續第一域固持於流槽中。
在另一合適配置中,石墨磊晶凝核特徵可為自第一側壁延伸至隔室中之撐柱。撐柱可具有與供其延伸至隔室中之側壁之厚度相似的厚度,且可用側壁連續地形成。撐柱可朝向第二側壁而延伸以提供橫越隔室之分割壁。
舉例而言,可在平行側壁之間提供複數個此等分割壁以便在側壁之間提供複數個子隔室。此等子隔室可呈封閉式子隔室之形式。舉例而言,在平行側壁之每一端部處可存在一分割壁以充當封鎖該隔室之每一端部之端壁。
該或該等撐柱可橫越隔室朝向第二側壁而延伸以提供一分割壁,該分割壁橫越該隔室而延伸,惟該撐柱與該第二側壁之間的間隙除外。或者,撐柱可具有提供於其中之間隙。此間隙可導致撐柱提供幾乎被封鎖之隔室,惟小間隙除外。此等小間隙之寬度可大約為不連續第一域之間的間隔,或更小。舉例而言,就鄰近不連續第一域之間的間隔 為自(比如)20奈米至60奈米的2-D陣列而言,間隙可具有(比如)5奈米至50奈米之大小,諸如,約10奈米。
合適地,撐柱可經塑形以與2-D陣列嚙合,藉以,撐柱替換(亦即,代替)2-D陣列之一或多個不連續第一域。
撐柱可呈寬度(例如)與側壁之寬度相似之壁之筆直區段的形式,從而平行於該壁或以一角度而與該壁會合。撐柱可形成為人字形形狀。
撐柱可包含壁之筆直區段,在筆直區段處撐柱接合第一側壁,使得在撐柱壁之筆直區段與第一側壁之間形成約60°、120°或90°之角度。該角度可經選擇成匹配於2-D陣列之不平行於笛卡爾y軸之不連續第一域之列的對準。
撐柱可包含如本文所闡明之一或多個另外石墨磊晶凝核特徵,因此(例如)可包括一(若干)流槽、間隙及/或另外撐柱,或可具有經配置以形成一成角度撐柱之兩個或兩個以上區段。
在一合適配置中,第一側壁可包含沿著x軸相對於彼此而偏移之第一平行側壁部分及第二平行側壁部分,石墨磊晶凝核特徵為該第一部分與該第二部分之間的不連續性。偏移距離可小於側壁之寬度,使得側壁可保持為連續側壁。
合適地,第一平行側壁部分及第二平行側壁部分可偏移達沿著x軸而量測之N.Lx ,其中N為整數且Lx 為沿著x軸而量測的2-D陣列之平行列之間距(亦即,中心軸線之間的間隔)。N通常將為1、2、3、4或5。應注意,在配置平行側 壁之相互間隔時將考量如上文所提到之任何轉變區帶或「零值區」,且因此在考慮根據本發明之一實施例之此配置中的偏移時不會必須再次考量任何轉變區帶或「零值區」。
或者或另外,第二側壁可包含相對於彼此而偏移之第一側壁部分及第二側壁部分,此係與針對第一側壁之情形相同。
在一合適配置中,石墨磊晶凝核特徵可為隔室內之導柱,該導柱自基板之表面向上延伸且係與側壁間隔。此導柱可具有與側壁之厚度相似之厚度且可具有相同材料。
導柱經合適地塑形及定位以與自我組合式聚合物之2-D陣列嚙合,使得撐柱替換該2-D陣列之一或多個不連續第一域。
導柱可進一步包含一或多個另外石墨磊晶凝核特徵,因此(例如)可包括一或多個間隙、流槽及/或另外撐柱。
石墨磊晶模板係合適地由抗蝕劑層形成,其中抗蝕劑層之部分已蝕刻掉以留下形成石墨磊晶模板之剩餘特徵。石墨磊晶模板可合適地由氫矽酸鹽類製成。任何撐柱及/或導柱皆可由與模板之側壁之材料相同的材料製成,合適地以相似方式而形成。
根據本發明之一態樣,提供一種製備一基板之一表面以用於將一可自我組合嵌段共聚物沈積於該表面上之方法,該方法包含在該基板之該表面上形成如本文所描述之一石墨磊晶模板。
此態樣提供一種製備一基板之一表面以用於將一可自我組合嵌段共聚物沈積於該表面上之方法。該方法包含在該基板之該表面上形成如本文所描述之一石墨磊晶模板。該石墨磊晶模板可包括複數個側壁,該複數個側壁界定平行側壁之鄰近對之間的隔室,其中該等因此形成之隔室包括如本文所闡明之一或多個石墨磊晶凝核特徵。
可藉由如下操作將該石墨磊晶模板之該等側壁及任何撐柱及/或導柱合適地提供於該基板上:將一抗蝕劑層施加至該表面;將該抗蝕劑層選擇性地曝光至光化輻射以提供抗蝕劑層之經曝光區帶及未經曝光區帶;及用一顯影劑來移除該經曝光區帶或該未經曝光抗蝕劑區帶以在其上提供具有剩餘抗蝕劑區帶之抗蝕劑特徵之該表面,其中該等抗蝕劑特徵形成該石墨磊晶模板之該等側壁及任何撐柱及/或導柱。
根據本發明之一態樣,可藉由如下操作將該石墨磊晶模板之該等側壁及任何撐柱合適地提供於該基板上:將一抗蝕劑層施加至該表面;進行該抗蝕劑層至光化輻射之一第一選擇性曝光以提供抗蝕劑層之第一經曝光區帶;進行該抗蝕劑層至光化輻射之一第二選擇性曝光以提供抗蝕劑層之第二經曝光區帶,其中該等第二經曝光區帶與該等第一經曝光區帶部分地重疊,且其中該抗蝕劑層之區 帶在該第一選擇性曝光及該第二選擇性曝光兩者中保持未經曝光;及用一顯影劑來移除該等未經曝光抗蝕劑區帶以在其上提供具有剩餘經曝光抗蝕劑區帶之抗蝕劑特徵之該表面,其中該等抗蝕劑特徵形成該石墨磊晶模板之該等側壁及任何撐柱。
該等撐柱可由僅在該第一選擇性曝光及該第二選擇性曝光中之一者中曝光的該抗蝕劑層之經曝光區帶形成。
根據本發明之一態樣,提供一種使一自我組合式嵌段聚合物在一基板之一表面上對準之方法,其中該嵌段共聚物經調適以自我組合成包含不連續第一域之平行列之一2-D陣列,該等平行列平行於一笛卡爾y軸而延伸、沿著一正交笛卡爾x軸而相互間隔且係藉由一連續第二域而分離,該方法包含:將如本文所描述之一石墨磊晶模板提供於該基板之該表面上;將一可自我組合嵌段聚合物組合物沈積至該石墨磊晶模板之一隔室中;及在該隔室中處理該可自我組合聚合物組合物以提供成為自我組合式嵌段共聚物之該2-D陣列之自我組合。
此態樣提供一種使一自我組合式嵌段聚合物在一基板之一表面上對準之方法。該嵌段共聚物為經調適以自我組合成包含不連續第一域之平行列之一2-D陣列的嵌段共聚物,該等平行列平行於一笛卡爾y軸而延伸、沿著一正交 笛卡爾x軸而相互間隔且係藉由一連續第二域而分離。舉例而言,該嵌段共聚物可經調適以自我組合成一圓柱形2-D陣列。該方法包含:將如本文所描述之一石墨磊晶模板提供於該基板之該表面上;將一可自我組合嵌段聚合物組合物沈積至該石墨磊晶模板之該隔室中;及在該隔室中處理該可自我組合聚合物組合物以提供成為自我組合式嵌段共聚物之該2-D陣列之自我組合。
用以提供該嵌段共聚物之自我組合之該處理可涉及退火、冷卻、藉由蒸發之溶劑損失,或其類似者。該可自我組合聚合物通常將以一無序狀態沈積至該隔室中作為一熔料或溶液。此沈積可(例如)藉由諸如旋塗或其類似者之一程序達成。
根據本發明之一態樣,提供一種藉由抗蝕劑蝕刻來圖案化一基板之一表面之微影方法,其中該方法包含藉由本文所描述之一方法將一自我組合式嵌段共聚物層提供於該表面處,其中該自我組合式嵌段共聚物層用作一抗蝕劑層。
此態樣提供一種藉由抗蝕劑蝕刻來圖案化一基板之一表面之微影方法。該方法包含藉由本文所描述之一方法將一自我組合式嵌段共聚物層提供於該表面處,其中該自我組合式嵌段共聚物層用作一抗蝕劑層。舉例而言,可使用一蝕刻以移除2-D陣列之第一域或第二域中之一者。通常,不連續域可用以藉由其移除及隨後由沈積於該基板之該表 面上之一導體替換來提供導電接點。
根據本發明之一態樣,提供一種在一基板之一表面處形成一器件構形之方法,該方法包含使用藉由本文所描述之一方法而形成之該自我組合式聚合物層作為一抗蝕劑層,同時對該基板進行改質以提供該器件構形。
此態樣提供一種在一基板之一表面處形成一器件構形之方法。使用藉由本文所描述之一方法而形成之自我組合式聚合物層作為一抗蝕劑層,同時對該基板進行改質以提供該器件構形。舉例而言,該基板之改質可涉及使用該自我組合式聚合物層作為一光罩來蝕刻該基板或將材料沈積至該基板上,其中第一域或第二域中之一者被移除。
在適當時,以下特徵適用於本發明之所有各種態樣。在合適時,以下特徵之組合可用作本文中之方法、裝置及組合物之部分,例如,如申請專利範圍所闡明。本文中之方法及石墨磊晶模板適合供器件微影中使用。舉例而言,本文所描述之方法及石墨磊晶模板可用於處理或形成自我組合式聚合物抗蝕劑層以用於直接圖案化器件基板或用於圖案化供壓印微影中使用之壓印模板。
可自我組合聚合物可為如上文所闡明的包含至少兩個不同嵌段類型之嵌段共聚物,該至少兩個不同嵌段類型可自我組合成使該等不同嵌段類型關聯至第一域類型及第二域類型中之有序聚合物層。嵌段共聚物可為二嵌段共聚物或三嵌段或多嵌段共聚物。交替或週期性嵌段共聚物可用作可自我組合聚合物。儘管可在以下態樣及實例中之一些中 僅提到兩個域類型,但本發明之一實施例亦適用於具有三個或三個以上不同域類型之可自我組合聚合物。
在一實施例中,可自我組合聚合物為包含第一單體之一或多個第一嵌段及第二單體之一或多個第二嵌段的嵌段共聚物。有用聚合物為PS(聚苯乙烯)/PMMA(聚甲基丙烯酸甲酯)之二嵌段共聚物,其係藉由PS/PMMA之嵌段之相對體積之比率的選擇而調適以在自我組合於基板表面上時自我組合成2-D圓柱形陣列。
在本說明書中,化學親和性意謂兩種不同化學物種關聯在一起之傾向。舉例而言,具親水性性質之化學物種具有針對水之高化學親和性,而疏水性化合物具有針對水之低化學親和性,但具有針對烷之高化學親和性。具極性性質之化學物種具有針對其他極性化合物及針對水之高化學親和性,而無極性、非極性或疏水性化合物具有針對水及極性物種之低化學親和性,但可展現針對諸如烷或其類似者之其他非極性物種之高化學親和性。化學親和性係與同兩種化學物種之間的界面相關聯之自由能有關:若界面自由能高,則兩種物種具有針對彼此之低化學親和性,而若界面自由能低,則兩種物種具有針對彼此之高化學親和性。化學親和性亦可按照「濕潤」予以表達,其中若液體及固體表面具有針對彼此之高化學親和性,則該液體將濕潤該表面,而若存在低化學親和性,則該液體將不濕潤該表面。
在本說明書中,當參考特徵之厚度時,該厚度係沿著垂 直於基板表面且穿過該特徵之質心的軸線而藉由適當方式合適地量測。厚度可藉由諸如干涉量測之技術合適地量測,或經由對蝕刻速率之認識予以評估。
在本說明書中無論何處提到「層」,所提及之層皆應被視為具有實質上均一厚度之層(在存在時)。「實質上均一厚度」意謂該厚度不會變化達多於其橫越該層之平均值的10%,或變化達不多於其橫越該層之平均值的5%。
在圖5中及圖7至圖13中,尚未展示2-D陣列-僅指示石墨磊晶模板。在圖6、圖14及圖15之列(d)中,藉由展示隔室內之不連續第一域之對準式列中至少一些來指示2-D陣列。
將參看附圖來描述本發明之特定實施例。
圖1A展示基板1,其中形成於基板1中之渠溝2係由側壁3及底部表面4界限。在圖1B中,具有親液性(例如,親水性)A嵌段及疏液性(例如,疏水性)B嵌段之可自我組合A-B嵌段共聚物已沈積至渠溝中以形成具有A域及B域之交替條紋之層5,A域及B域已沈積為在該嵌段共聚物之沈積期間分離成離散微分離週期性域之層狀相。此情形被稱作石墨磊晶。類型A域已鄰近於側壁3而凝核,側壁3亦具親液性(例如,親水性)。在圖1C中,類型A域已藉由選擇性化學蝕刻而移除,從而留下類型B域以在渠溝中形成起伏圖案,其中類型B域可充當用於(例如)藉由進一步化學蝕刻對底部表面4進行後續圖案化之模板。選擇性移除可(例如) 藉由在共聚物之嵌段之間的鍵聯劑之選擇性光降解或光裂解及該等嵌段中之一者之後續增溶而達成。自我組合式聚合物結構5之間距或波長及渠溝4之寬度經配置成使得域之數個交替條紋可配合至該渠溝中,其中類型A域抵靠每一側壁。
圖2A展示具有呈牽制條紋11之形式之化學圖案的基板10,牽制條紋11已化學地形成於表面13上以提供具有針對聚合物之類型A嵌段之較高親和性的區帶。在圖2B中,具有親液性(例如,親水性)A嵌段及疏液性(例如,疏水性)B嵌段之可自我組合A-B嵌段共聚物已沈積至基板10之表面13上以形成具有A域及B域之交替條紋之層狀相層12,A域及B域已在該嵌段共聚物之沈積期間相分離成離散微分離週期性域。此情形被稱作化學預圖案化。類型A域已在牽制條紋11之頂上凝核,牽制條紋11亦具親液性(例如,親水性)。在圖1C中,類型A域已藉由選擇性化學蝕刻而移除,從而留下類型B域以在表面13上形成起伏圖案,其中類型B域可充當用於(例如)藉由進一步化學蝕刻對表面13進行後續圖案化之模板。自我組合式聚合物結構12之間距或波長及牽制條紋11之間隔經配置成使得域之數個交替條紋可配合於牽制條紋11之間,其中類型A域係在每一牽制條紋11之頂上。
在下文中,用作可自我組合聚合物之二嵌段共聚物為聚(苯乙烯-b-甲基丙烯酸甲酯)嵌段共聚物。
在圖3中,圖3A至圖3B展示由表面上之薄膜中之自我組 合式聚(苯乙烯-b-甲基丙烯酸甲酯)嵌段共聚物形成之不同相的進程。在圖3A中,展示立方體相,其中對於80:20之比率PS:PMMA,在PS之連續域31內,不連續域為PMMA之球體30。
隨著比率PS:PMMA縮減至70:30,形成圓柱形相,其中不連續域為PMMA之圓柱32且連續域31為PS。在50:50比率下,形成如圖3C所示之層狀相,其具有PMMA之一或多個片層34及PS之一或多個片層35。在30:70 PS:PMMA之比率下,形成圖3D所示之倒轉圓柱形相,其中不連續域為PS之圓柱37且連續域36為PS。在圖3E所示之20:80之比率下,形成倒轉立方體相,其中在PMMA之連續域38內,不連續域為PS之球體39。
圖4A描繪聚(苯乙烯-b-甲基丙烯酸甲酯)嵌段共聚物,其中PS:PMMA之體積分率比率為70:30。聚合物區段46表示聚苯乙烯,其中聚合物區段45表示PMMA。在圖4B中,此聚合物被展示為在基板41上之石墨磊晶模板之側壁42、43之間自我組合成2-D陣列,其中PMMA 45形成不連續圓柱形域且聚苯乙烯46形成環繞該等圓柱之連續域。在此狀況下,石墨磊晶模板之側壁具有針對PMMA 45之高化學親和性,此情形導致此配置,其中PMMA圓柱45以列形式藉由聚苯乙烯46之第二連續相之區帶而與側壁隔開。
對於以下諸圖,用以呈現本發明之一實施例之嵌段共聚物為經調適以自我組合成2-D六邊形圓柱形陣列之70:30 PS:PMMA聚合物,其中不連續第一域以列形式平行於y軸 且形成與y軸成60°(及120°)而對準之另外相互平行列。儘管此特定配置已用以呈現本發明之一實施例,但應理解,本發明可經容易地調適以供其他2-D陣列使用。
圖5展示根據本發明之一實施例之石墨磊晶模板,其中數個平行側壁50在該等側壁之間形成隔室55。在每一對側壁之間,存在經設定為與每一對側壁中之每一第一側壁成60°之角度α的端壁54。(例如)抗蝕劑之另外線53在每一對側壁之間提供一分割壁。此線53亦設定為與第一側壁成60°之角度α,且可被視為在石墨磊晶模板內提供封閉式隔室51之集合及開放式隔室52之集合,其中該等壁適合於2-D六邊形陣列之對準。
對於每一類型之隔室,形成於側壁與端壁之間或側壁與分割壁之間的隔室之隅角充當石墨磊晶凝核特徵,從而提供部位點以定位自我組合式嵌段共聚物之2-D陣列之不連續第一域。在側壁之間及在端壁與分割壁之間的間隔經配置以使自我組合式嵌段共聚物之2-D有序陣列能夠在無應變的情況下配合於隔室內。在不希望受到理論約束的情況下,據信,開放式隔室52可允許應變鬆弛,而凝核仍可自隔室之封閉式端部處之隅角有效,且此情形可促進快速退火。
在圖6A中,四個平行側壁601、602、603、604被展示為形成3個隔室,一個隔室係在每一對鄰近側壁之間。自我組合式2-D聚合物在每一隔室內被指示為由平行於側壁且平行於y軸而對準之第二連續域612分離,其中在每一隔室 中具有不連續域611之3個平行列。撐柱(605至610)提供於每一隔室之端部處,從而幾乎封閉該等端部,惟該撐柱與每一各別側壁之間的小間隙除外。舉例而言,對於側壁601與側壁602之間的隔室,撐柱605幾乎封閉該隔室之一個端部,其中撐柱608幾乎封閉該同一隔室之另一端部。撐柱605、608經設定為與第一側壁601成60°,以便匹配於由自我組合式聚合物之六邊形陣列形成於隔室內之2-D陣列的對準。特別是在撐柱605、608與第一側壁601之間的銳角(例如,60°角度)之狀況下,在該等撐柱與每一各別側壁之間具有小間隙之優點中之一者在於:其縮減對該角度與所要角度之偏差之敏感度。
在圖6B中,展示相似配置,其中四個相互平行側壁613、614、615、616亦經配置以提供三個隔室。在圖6B之實施例中,撐柱617、618、619、620、621、622呈人字形之形式且經定尺寸成匹配於各別隔室中之有序自我組合式聚合物內之不連續相域的對準。再次,在撐柱與各別側壁之間提供間隙,此情形可准許應變去除。提供於撐柱與側壁之間的間隙可為大約(比如)10奈米。起因於在隔室之隅角處存在間隙之優點在於:在無序自我組合式聚合物至隔室中之沈積期間之浮渣形成得以減輕。在封閉式隔室的情況下,存在使浮渣聚集於隅角處之傾向,而在敞開式隅角的情況下,可縮減或消除凝核位點處浮渣之收集。
根據本發明之另外實施例(圖中未繪示)相似於圖6B所示之配置,但其中呈人字形之形式之撐柱620、621、622經 配置成使得人字形之尖端不指向負y方向而指向y方向。再次,呈人字形之形式之撐柱617、618、619、620、621、622經定尺寸成匹配於各別隔室中之有序自我組合式聚合物內之不連續相域的對準。在一實施例中,在撐柱與各別側壁之間不提供間隙。
圖7展示示範石墨磊晶凝核特徵之數個不同配置的石墨磊晶模板配置。在隔室(a)中,撐柱自第一側壁70延伸至第二側壁71之短距離內。在隔室(b)中,撐柱自每一側壁71、72向內延伸以有效地形成具有中心間隙之分割壁集合。部分(c)展示具有數個子隔室之隔室配置,每一子隔室在側壁73、74或端壁中具備間隙。
圖8展示另一變化組態,其中在側壁80、81之間的筆直分割壁84、85或在側壁81、82之間的人字形分割壁86、87及具備呈鋸齒之形式之一或多個流槽89的在側壁82、83之間的分割壁88經間隔以使自我組合式嵌段共聚物之有序2-D陣列之不連續域對準。
圖9展示使用來自各別側壁900、902、905、908、911、915、918之短撐柱901、903、904、906、907、909、910、912、913、914、916、917作為石墨磊晶凝核特徵。該等撐柱為經配置以在2-D六邊形陣列之單一不連續域凝核於各別側壁之間時替換該單一不連續域且因此按位置定位該2-D陣列的筆直撐柱。
圖10A展示凝核特徵之組合,其包括側壁101中伴有撐柱103、104之間隙102,撐柱103、104在該間隙處且朝向各 別側壁100、105延伸至鄰近隔室中。圖10B展示單獨地在側壁101中之間隙102用作凝核特徵的配置。
圖11展示側壁之配置,其中每一側壁具有三個部分(110、112、133及115、116、117),每一部分相互平行於其他部分,但沿著x軸而偏移。因此,對於圖11之最左側壁,部分110係自部分112而偏移,從而形成角度114作為凝核特徵(在此狀況下為60°)。對於右側之鄰近側壁展示相似配置,其中部分116係自部分117而偏移以形成角度118作為凝核特徵(在此狀況下為90°)。在向右之下一側壁中,以側壁部分之間的偏移來示範為120°角度之凝核特徵119。
圖12A及圖12B展示進一步使用附接至或鄰近於側壁121、122、125之一或多個撐柱123作為凝核特徵。在此實施例中,撐柱123經定大小成使得其可替換2-D六邊形陣列之數個不連續域以充當凝核特徵,而不會使所得陣列應變。在圖12C中,側壁127、128、129之一或多個流槽126係作為凝核特徵而存在。
圖13中展示使用側壁131之流槽132作為凝核特徵之另外例示。流槽被展示為沿著側壁131而隔開達距離L,其中L=N.Ly ,或對於分割壁133,間隔經設定成對應於不連續域之平行列之間距Lx 。另外,鄰近側壁上之流槽132可相對於彼此而間隔成(例如)60°之角度α。
在圖14中,導柱147經提供成在側壁141、142、143、145、146之間位於導柱147經定位及定尺寸成配合至連續 域149當中之不連續域148之2-D陣列中的部位處,其中每一導柱147有效地替換一不連續第一域148,且因此,每一導柱可充當一對準式凝核位點。即使凝核係自複數個導柱起始,該等導柱之對準亦應幫助確保所得自我組合式2-D陣列對準。由於嵌段共聚物在與石墨磊晶特徵之界面處形成寬度為d之轉變區帶或「零值區」(如上文所解釋)的可能性,導柱之大小可經配置以便考量此現象。
在根據本發明之較佳實施例中,石墨磊晶模板將替換2-D六邊形陣列之單不連續域之石墨磊晶凝核特徵的使用與提供部位點以定位該2-D陣列之不連續第一域之石墨磊晶凝核特徵的使用進行組合。舉例而言,根據本發明之石墨磊晶模板(圖中未繪示)將如上文關於圖9所描述的比如901、903、904、906、907、909、910、912、913、914、916、917之短撐柱作為替換2-D六邊形陣列之單不連續域之石墨磊晶凝核特徵的使用與如關於圖5所描述的隔室之隅角作為提供部位點以定位該2-D陣列之不連續第一域之石墨磊晶凝核特徵的使用進行組合。代替如關於圖5所描述的隔室之隅角,亦可使用提供部位點以定位2-D陣列之不連續第一域的其他類型之石墨磊晶凝核特徵。舉例而言,可使用上文所描述或展示之適用石墨磊晶凝核特徵中任一者,比如,圖6A或圖6B之石墨磊晶凝核特徵。又,代替如關於圖9所描述之短撐柱,亦可使用替換2-D六邊形陣列之單不連續域的其他類型之石墨磊晶凝核特徵。石墨磊晶凝核特徵之其他組合亦係可能的,比如,如圖13所示 之流槽132與圖6A或圖6B之石墨磊晶凝核特徵的組合(在撐柱與各別側壁之間具有間隙或無間隙的情況下)。將如上文所描述之石墨磊晶凝核特徵類型進行組合之優點在於:其進一步改良不連續第一域沿著y軸精確均勻地間隔的良好程度。
對於圖5至圖14所示之石墨磊晶模板之實施例,可藉由如下操作將該等模板合適地提供於基板上:將抗蝕劑層沈積於基板上且隨後蝕刻抗蝕劑層以移除抗蝕劑層之大部分,從而在基板之表面上留下石墨磊晶模板之圖案作為殘餘抗蝕劑特徵。
在一實施例中,模板可藉由層(諸如,抗蝕劑層)之電子束微影而形成。
在圖15中,行(A)、(B)及(C)中每一者展示在每一狀況下藉由雙曝光光微影來形成不同石墨磊晶模板,其中每一行中之最後列展示在每一行之列(d)中之模板內所對準之嵌段共聚物的自我組合。
對於圖15中之實施例中每一者(行(A)、(B)及(C)),對抗蝕劑進行一對重疊光微影曝光,其中第一曝光係如列(a)所示且第二曝光係如列(b)所示,藉以,對於每一實例,一旦已顯影經曝光抗蝕劑,經組合之所得曝光就提供根據本發明之實施例之模板。該方法允許使用簡單光罩,其中石墨磊晶模板係藉由圖案(a)與圖案(b)之重疊而形成,從而給出如列(c)所示之所得石墨磊晶模板。因此,所得石墨磊晶模板可包括呈撐柱之形式之凝核特徵,撐柱具有原本將小 於可藉由使用單一光罩及至光化輻射之單次曝光之習知光微影而獲得之解析度的尺寸。每一行之列(d)展示所得石墨磊晶模板可如何用於嵌段共聚物之自我組合之對準。
所描述及說明之實施例應被認為在特性上係說明性而非限制性的,應理解,僅已展示及/或描述較佳實施例,且希望保護在如申請專利範圍所界定的本發明之範疇內的所有改變及修改。舉例而言,儘管該等實例係關於經調適以自我組合成六邊形2-D陣列之可自我組合聚合物,但所使用之聚合物可(例如)代替地為經調適以自我組合成矩形、正方形或面心2-D陣列之聚合物,其中石墨磊晶模板經改質成以經設定為與側壁成90°而非成(比如)60°或120°之端壁、導柱或撐柱為特徵。又,在側壁與端壁、導柱或撐柱之間所設定之角度(例如,圖8中之角度α)影響不連續第一域沿著y軸精確均勻地間隔的良好程度。在端壁、導柱或撐柱經設定為與側壁成介於60°與80°之間的角度的情況下達成特別良好結果。在端壁、導柱或撐柱經設定為與側壁成介於65°與75°之間的角度(比如,66°或72°)的情況下達成甚至更好結果。
本發明之一實施例係關於微影方法。該等方法可用於供製造諸如電子器件及積體電路或其他應用之器件用之程序中,諸如,製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭、有機發光二極體,等等。本發明之一實施例亦用以在表面上創製規則奈米結構以用於製作用於磁性儲存器件 (例如,用於硬碟機)之積體電路、位元圖案化媒體及/或離散磁軌媒體。
詳言之,本發明之一實施例係用於高解析度微影,其中圖案化至基板上之特徵具有約1微米或1微米以下、通常為100奈米或100奈米以下或甚至為10奈米或10奈米以下之特徵寬度或臨界尺寸。
微影可涉及將若干圖案施加至基板上,該等圖案堆疊於彼此之頂部上,使得其一起形成諸如積體電路之器件。每一圖案與先前所提供圖案之對準為重要考慮。若圖案彼此未足夠準確地對準,則此情形可引起在諸層之間不進行一些電連接。此情形又造成器件不起作用。因此,微影裝置通常包括對準裝置,對準裝置可用以使每一圖案與先前所提供圖案對準及/或與提供於基板上之對準標記對準。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,其含有描述如上文所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其具有儲存於其中之此電腦程式。
在本說明書中,術語「基板」意謂包括形成基板之部件或提供於基板上之任何表面層,諸如,可在基板之表面處或形成基板之表面的其他平坦化層或抗反射塗層。
1‧‧‧基板
2‧‧‧渠溝
3‧‧‧側壁
4‧‧‧底部表面
5‧‧‧層/自我組合式聚合物結構
10‧‧‧基板
11‧‧‧牽制條紋
12‧‧‧層狀相層/自我組合式聚合物結構
13‧‧‧表面
30‧‧‧球體
31‧‧‧連續域
32‧‧‧圓柱
34‧‧‧片層
35‧‧‧片層
36‧‧‧連續域
37‧‧‧圓柱
38‧‧‧連續域
39‧‧‧球體
41‧‧‧基板
42‧‧‧側壁
43‧‧‧側壁
45‧‧‧聚合物區段/聚甲基丙烯酸甲酯(PMMA)/PMMA圓柱
46‧‧‧聚合物區段/聚苯乙烯
50‧‧‧側壁
51‧‧‧封閉式隔室
52‧‧‧開放式隔室
53‧‧‧另外線
54‧‧‧端壁
55‧‧‧隔室
70‧‧‧第一側壁
71‧‧‧第二側壁
72‧‧‧側壁
73‧‧‧側壁
74‧‧‧側壁
80‧‧‧側壁
81‧‧‧側壁
82‧‧‧側壁
83‧‧‧側壁
84‧‧‧筆直分割壁
85‧‧‧筆直分割壁
86‧‧‧人字形分割壁
87‧‧‧人字形分割壁
88‧‧‧分割壁
89‧‧‧流槽
100‧‧‧側壁
101‧‧‧側壁
102‧‧‧間隙
103‧‧‧撐柱
104‧‧‧撐柱
105‧‧‧側壁
110‧‧‧部分
112‧‧‧部分
114‧‧‧角度
115‧‧‧部分
116‧‧‧部分
117‧‧‧部分
118‧‧‧角度
119‧‧‧凝核特徵
121‧‧‧側壁
122‧‧‧側壁
123‧‧‧撐柱
125‧‧‧側壁
126‧‧‧流槽
127‧‧‧側壁
128‧‧‧側壁
129‧‧‧側壁
131‧‧‧側壁
132‧‧‧流槽
133‧‧‧部分/分割壁
141‧‧‧側壁
142‧‧‧側壁
143‧‧‧側壁
145‧‧‧側壁
146‧‧‧側壁
147‧‧‧導柱
148‧‧‧不連續第一域
149‧‧‧連續域
601‧‧‧側壁
602‧‧‧側壁
603‧‧‧側壁
604‧‧‧側壁
605‧‧‧撐柱
606‧‧‧撐柱
607‧‧‧撐柱
608‧‧‧撐柱
609‧‧‧撐柱
610‧‧‧撐柱
611‧‧‧不連續域
612‧‧‧第二連續域
613‧‧‧側壁
614‧‧‧側壁
615‧‧‧側壁
616‧‧‧側壁
617‧‧‧撐柱
618‧‧‧撐柱
619‧‧‧撐柱
620‧‧‧撐柱
621‧‧‧撐柱
622‧‧‧撐柱
900‧‧‧側壁
901‧‧‧短撐柱
902‧‧‧側壁
903‧‧‧短撐柱
904‧‧‧短撐柱
905‧‧‧側壁
906‧‧‧短撐柱
907‧‧‧短撐柱
908‧‧‧側壁
909‧‧‧短撐柱
910‧‧‧短撐柱
911‧‧‧側壁
912‧‧‧短撐柱
913‧‧‧短撐柱
914‧‧‧短撐柱
915‧‧‧側壁
916‧‧‧短撐柱
917‧‧‧短撐柱
918‧‧‧側壁
A‧‧‧嵌段
B‧‧‧嵌段
(a)‧‧‧列/圖案/隔室
(A)‧‧‧行
(b)‧‧‧列/圖案
(B)‧‧‧行
(c)‧‧‧列/部分
(C)‧‧‧行
(d)‧‧‧列
圖1A至圖1C示意性地描繪藉由一個域之選擇性蝕刻對 起伏圖案之石墨磊晶及形成而將A-B嵌段共聚物有向地自我組合至基板上;圖2A至圖2C示意性地描繪藉由一個域之選擇性蝕刻對起伏圖案之化學預圖案化及形成而將A-B嵌段共聚物有向地自我組合至基板上;圖3A至圖3E示意性地描繪在聚苯乙烯嵌段及PMMA嵌段之相對體積分率相比於彼此而變化時由聚(苯乙烯-b-甲基丙烯酸甲酯)形成之不同相;圖4A及圖4B示意性地描繪在石墨磊晶模板之側壁之間形成圓柱形相作為2-D陣列之嵌段共聚物;圖5展示根據本發明之一實施例的石墨磊晶模板之實施例的形成於鄰***行側壁之間的複數個隔室之平面圖;圖6A及圖6B各自描繪根據本發明之一實施例的形成於石墨磊晶模板之鄰***行側壁之間的隔室;及圖7、圖8、圖9、圖10(包含圖10A及圖10B)、圖11、圖12(包含圖12A至圖12C)、圖13及圖14(包含圖14A及圖14B)各自描繪根據本發明之一實施例之石墨磊晶模板的平面圖;圖15在行(A)、(B)及(C)中展示用於藉由在列(a)至(c)中使用雙曝光光微影而形成根據本發明之實施例之石墨磊晶模板的階段,其中自我組合成列(d)示意性地所描繪之所得模板。
70‧‧‧第一側壁
71‧‧‧第二側壁
72‧‧‧側壁
73‧‧‧側壁
74‧‧‧側壁
(a)‧‧‧列/圖案/隔室
(b)‧‧‧列/圖案
(c)‧‧‧列/部分

Claims (22)

  1. 一種在一基板上之石墨磊晶模板,其用以使一自我組合式嵌段聚合物在該基板之一表面上對準,其中該嵌段共聚物經調適以自我組合成包含不連續第一域之平行列之一2-D陣列,該等平行列平行於一笛卡爾y軸而延伸、沿著一正交笛卡爾x軸而相互間隔且係藉由一連續第二域而分離,該石墨磊晶模板包含:第一實質上平行側壁及第二實質上平行側壁,其平行於該y軸而延伸且界定該y軸且沿著該x軸而相互間隔以提供一隔室,該隔室經調適以將該基板上之不連續第一域之至少一列固持於該等側壁之間且固持成平行於該等側壁,且係藉由該連續第二域而與該至少一列分離,其中該隔室包含經配置以將該等不連續第一域中至少一者定位於該隔室內之一特定位置處之一石墨磊晶凝核特徵。
  2. 如請求項1之石墨磊晶模板,其中該石墨磊晶凝核特徵包含該第一側壁及/或該第二側壁中之一流槽。
  3. 如請求項1或2之石墨磊晶模板,其中該流槽經塑形以在其中固持至少一不連續第一域。
  4. 如請求項1或2之石墨磊晶模板,其中該石墨凝核特徵包含自該第一側壁延伸至該隔室中之一撐柱。
  5. 如請求項4之石墨磊晶模板,其中該撐柱朝向該第二側壁而延伸以提供橫越該隔室之一分割壁。
  6. 如請求項4之石墨磊晶模板,其中該撐柱橫越該隔室朝 向該第二側壁而延伸以提供一分割壁,該分割壁橫越該隔室而延伸,惟該撐柱與該第二側壁之間的一間隙除外。
  7. 如請求項4之石墨磊晶模板,其中該撐柱經塑形以與該2-D陣列嚙合,使得該撐柱替換該2-D陣列之一或多個不連續第一域。
  8. 如請求項4之石墨磊晶模板,其中該撐柱包含一筆直區段,在該筆直區段處該撐柱接合該第一側壁,使得在該撐柱之該筆直區段與該第一側壁之間形成介於60°與80°之間的一角度。
  9. 如請求項4之石墨磊晶模板,其中該撐柱包含一另外石墨磊晶凝核特徵。
  10. 如請求項1或2之石墨磊晶模板,其中該第一側壁包含沿著該x軸相對於彼此而偏移之第一平行側壁部分及第二平行側壁部分,該石墨磊晶凝核特徵包含該第一部分與該第二部分之間的一不連續性。
  11. 如請求項10之石墨磊晶模板,其中該第一平行側壁部分及該第二平行側壁部分偏移達沿著該x軸而量測之N.Lx ,其中N為一整數且Lx 為沿著該x軸的該2-D陣列之該等平行列之一間距。
  12. 如請求項1或2之石墨磊晶模板,其中該石墨磊晶凝核特徵包含該隔室內之一導柱,該導柱自該基板之該表面伸出且與該等側壁間隔。
  13. 如請求項12之石墨磊晶模板,其中該導柱經塑形及定位 以與該2-D陣列嚙合,使得該撐柱替換該2-D陣列之一或多個不連續第一域。
  14. 如請求項12之石墨磊晶模板,其中該導柱包含一另外石墨磊晶凝核特徵。
  15. 如請求項1或2之石墨磊晶模板,其中該石墨磊晶模板係由氫矽酸鹽類製成。
  16. 一種製備一基板之一表面以用於將一可自我組合嵌段共聚物沈積於該表面上之方法,該方法包含:在該基板之該表面上形成一如請求項1至15中任一項之石墨磊晶模板。
  17. 如請求項16之方法,其中藉由如下操作將該石墨磊晶模板之該等側壁及任何撐柱及/或導柱提供於該基板上:將一抗蝕劑層施加至該表面;將該抗蝕劑層選擇性地曝光至光化輻射以提供抗蝕劑層之經曝光區帶及未經曝光區帶;及用一顯影劑來移除該經曝光抗蝕劑區帶或該未經曝光抗蝕劑區帶以在其上提供具有剩餘抗蝕劑區帶之抗蝕劑特徵之該表面,其中該等抗蝕劑特徵形成該石墨磊晶模板之該等側壁及任何撐柱及/或導柱。
  18. 如請求項16之方法,其中藉由如下操作將該石墨磊晶模板之該等側壁及/或撐柱提供於該基板上:將一抗蝕劑層施加至該表面;進行該抗蝕劑層至光化輻射之一第一選擇性曝光以提 供抗蝕劑層之第一經曝光區帶;進行該抗蝕劑層至光化輻射之一第二選擇性曝光以提供抗蝕劑層之第二經曝光區帶,其中該等第二經曝光區帶與該等第一經曝光區帶部分地重疊,且其中該抗蝕劑層之區帶在該第一選擇性曝光及該第二選擇性曝光兩者中保持未經曝光;及用一顯影劑來移除該等未經曝光抗蝕劑區帶以在其上提供具有剩餘經曝光抗蝕劑區帶之抗蝕劑特徵之該表面,其中該等抗蝕劑特徵形成該石墨磊晶模板之該等側壁及/或撐柱。
  19. 如請求項18之方法,其中撐柱係由僅在該第一選擇性曝光及該第二選擇性曝光中之一者中曝光的該抗蝕劑層之經曝光區帶形成。
  20. 一種使一自我組合式嵌段聚合物在一基板之一表面上對準之方法,其中該嵌段共聚物經調適以自我組合成包含不連續第一域之平行列之一2-D陣列,該等平行列平行於一笛卡爾y軸而延伸、沿著一正交笛卡爾x軸而相互間隔且係藉由一連續第二域而分離,該方法包含:將一如請求項1至15中任一項之石墨磊晶模板提供於該基板之該表面上;將一可自我組合嵌段聚合物組合物沈積至該石墨磊晶模板之該隔室中;及在該隔室中處理該可自我組合聚合物組合物以提供成為自我組合式嵌段共聚物之該2-D陣列之自我組合。
  21. 一種藉由抗蝕劑蝕刻來圖案化一基板之一表面之微影方法,其中該方法包含藉由如請求項20之方法將一自我組合式嵌段共聚物層提供於該表面處,其中該自我組合式嵌段共聚物層用作一抗蝕劑層。
  22. 一種在一基板之一表面處形成一器件構形之方法,該方法包含使用藉由如請求項20之方法而形成之該自我組合式聚合物層作為一抗蝕劑層,同時蝕刻該基板以提供該器件構形。
TW101136581A 2011-10-03 2012-10-03 提供用於可自我組合聚合物之圖案化定向模板之方法 TWI467636B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161542498P 2011-10-03 2011-10-03
US201161578637P 2011-12-21 2011-12-21
US201261601439P 2012-02-21 2012-02-21

Publications (2)

Publication Number Publication Date
TW201320154A TW201320154A (zh) 2013-05-16
TWI467636B true TWI467636B (zh) 2015-01-01

Family

ID=47137670

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101136581A TWI467636B (zh) 2011-10-03 2012-10-03 提供用於可自我組合聚合物之圖案化定向模板之方法

Country Status (7)

Country Link
US (1) US10240250B2 (zh)
JP (1) JP6138137B2 (zh)
KR (1) KR102026270B1 (zh)
CN (1) CN103889888B (zh)
NL (1) NL2009555A (zh)
TW (1) TWI467636B (zh)
WO (1) WO2013050338A1 (zh)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8686109B2 (en) 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
US9086621B2 (en) 2012-04-20 2015-07-21 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10457088B2 (en) 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
JP6232226B2 (ja) * 2013-08-09 2017-11-15 東京応化工業株式会社 相分離構造を含む構造体の製造方法
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
US9181449B2 (en) 2013-12-16 2015-11-10 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9275896B2 (en) * 2014-07-28 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9738765B2 (en) * 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102350586B1 (ko) * 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
KR102350587B1 (ko) * 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI723052B (zh) * 2015-10-23 2021-04-01 日商東京威力科創股份有限公司 基板處理方法、程式及電腦記憶媒體
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10343920B2 (en) * 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3559053B1 (en) 2016-12-21 2022-04-13 Merck Patent GmbH Compositions and processes for self-assembly of block copolymers
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI808692B (zh) * 2022-03-22 2023-07-11 華邦電子股份有限公司 自對準多重圖案化標記

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030091752A1 (en) * 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080176767A1 (en) * 2007-01-24 2008-07-24 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US20100316849A1 (en) * 2008-02-05 2010-12-16 Millward Dan B Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3793040B2 (ja) * 2001-05-09 2006-07-05 株式会社東芝 記録媒体およびその製造方法
US8133534B2 (en) * 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
US7829246B2 (en) * 2005-11-08 2010-11-09 Nec Electronics Corporation Method of forming pattern
JP4163729B2 (ja) 2006-10-03 2008-10-08 株式会社東芝 磁気記録媒体、その製造方法、および磁気記録装置
US7627447B2 (en) 2007-01-23 2009-12-01 The Boeing Company Method and apparatus for localizing and mapping the position of a set of points on a digital model
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
CN101602481A (zh) * 2009-07-16 2009-12-16 上海交通大学 具有凸半球结构的硅平台制备方法
CN101989047B (zh) * 2009-07-30 2012-08-01 上海华虹Nec电子有限公司 一种利用双重曝光方法来检测掩模板图形形貌的方法
CN101916039A (zh) * 2010-07-16 2010-12-15 深圳清溢光电股份有限公司 一种掩模板的制作方法
US20120196094A1 (en) * 2011-01-31 2012-08-02 Seagate Technology Llc Hybrid-guided block copolymer assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030091752A1 (en) * 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20060134556A1 (en) * 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20070175859A1 (en) * 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080176767A1 (en) * 2007-01-24 2008-07-24 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US20100316849A1 (en) * 2008-02-05 2010-12-16 Millward Dan B Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers

Also Published As

Publication number Publication date
WO2013050338A1 (en) 2013-04-11
NL2009555A (en) 2013-04-08
US20140245948A1 (en) 2014-09-04
CN103889888A (zh) 2014-06-25
KR102026270B1 (ko) 2019-09-27
US10240250B2 (en) 2019-03-26
KR20140083008A (ko) 2014-07-03
JP6138137B2 (ja) 2017-05-31
JP2014531767A (ja) 2014-11-27
CN103889888B (zh) 2017-04-26
TW201320154A (zh) 2013-05-16

Similar Documents

Publication Publication Date Title
TWI467636B (zh) 提供用於可自我組合聚合物之圖案化定向模板之方法
TWI529816B (zh) 藉嵌段共聚物之自組裝而在一基板上提供具間隔的微影特徵之方法
US9086621B2 (en) Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9250528B2 (en) Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
KR101929865B1 (ko) 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
TWI486705B (zh) 使用自組聚合物之微影技術
JP6271598B2 (ja) ブロック共重合体の自己組織化によって基板上にリソグラフィフィーチャを提供する方法
TWI526777B (zh) 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
US9229324B2 (en) Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
TWI546616B (zh) 藉由嵌段共聚物之自組裝而在基板上提供間隔的微影特徵之方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees