TWI463654B - 奈米管/奈米導線場效電晶體之自行對準製程 - Google Patents

奈米管/奈米導線場效電晶體之自行對準製程 Download PDF

Info

Publication number
TWI463654B
TWI463654B TW095100291A TW95100291A TWI463654B TW I463654 B TWI463654 B TW I463654B TW 095100291 A TW095100291 A TW 095100291A TW 95100291 A TW95100291 A TW 95100291A TW I463654 B TWI463654 B TW I463654B
Authority
TW
Taiwan
Prior art keywords
layer
dimensional nanostructure
gate
metal
dielectric layer
Prior art date
Application number
TW095100291A
Other languages
English (en)
Other versions
TW200644240A (en
Inventor
Phaedon Avouris
Roy A Carruthers
Jia Chen
Christophe G M M Detavernier
Christian Lavoie
Hon-Sum Philip Wong
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200644240A publication Critical patent/TW200644240A/zh
Application granted granted Critical
Publication of TWI463654B publication Critical patent/TWI463654B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/464Lateral top-gate IGFETs comprising only a single gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • Y10S977/847Surface modifications, e.g. functionalization, coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Description

奈米管/奈米導線場效電晶體之自行對準製程
本發明係關於一種半導體結構以及製造此結構的方法。本發明尤其是關於一種互補式金氧半導體(CMOS)裝置,例如場效電晶體(FET),其包含至少一個一維奈米結構(一般為碳基礎的奈米材料)作為裝置通道,以及與裝置的閘極區域自行對準的金屬碳化物接觸,亦即對準閘極區域的邊緣。本發明亦提供製造此類CMOS裝置的方法。
在分子奈米電子領域中,很少材料可有如同一維奈米結構的前景,尤其是奈米碳管,其包含直徑只有數埃(Angstrom)的中空石墨管。奈米管以及其他類似的一維奈米結構可依奈米微粒的電子特性應用於電子裝置中,例如二極體以及電晶體。一維奈米結構具有獨特的大小、形狀及物理特性。舉例而言,碳基礎的奈米管具有類似將碳滾成管狀的六角晶格。
碳基礎的奈米管除了可以在室溫下顯示耐人尋味的量子行為,還顯示至少兩個重要的特性,奈米管可以依其對掌性(chirality),亦即共形幾何,而呈現金屬性或是半導體性。金屬性的奈米管可載相當大的電流密度且維持固定的阻抗。半導體性的奈米管可如場效電晶體(FETs)電性地”開”或”關”。這兩種型態可以共價(分享電子)地結合。這些特性使得奈米管成為製造奈米尺寸半導體電路的優良材料。其他一維奈米結構也有類似的特性。
碳基礎的奈米管以及其他類似的一維奈米結構,因而在後SiFET縮放技術變得策略地重要。然而,並無已知的自行對準製程可與習知CMOS技術相比擬。相較於非自行對準製程,包含一維奈米結構的CMOS裝置的自行對準製程可以提供較簡單的製程步驟順序,而且可以減少一般發生在非自行對準製程中的製程失誤。再者,相較於非自行對準製程,自行對準製程提供可減少寄生的結構。
從上所述可知,需要提供一種自行對準製程,製造包含一維奈米結構(例如奈米管以及奈米導線)的CMOS裝置。
本發明提供一種自行對準的含一維奈米結構之場效電晶體(FET)及其製造方法。本發明自行對準的含一維奈米結構之FET包含作為接觸之一金屬碳化物,其與包含奈米結構作為裝置通道的閘極區域的邊緣對準。
在本發明中,「一維奈米結構」一詞係用來描述至少一奈米管或至少一奈米導線。奈米管和奈米導線的差異在於奈米管通常具有中空的洞,而奈米導線則是完全填滿奈米材料。「奈米桿(nanorods)」有時候用來描述奈米導線。一維奈米結構是具有奈米尺寸的直徑,以及相對而言長度相當長的結構。換言之,這樣的結構具有很大的外觀比(aspect ratio),而且量子效應對於這些系統變得很重要。
具體且廣義而言,本發明之含一維奈米結構的FET包含:一基板,包含至少一閘極區域位於其上,至少一閘極區域包含至少一個一維奈米結構之層;以及一金屬碳化物接觸位於基板之表面上,係與至少一個一維奈米結構之層的邊緣對準。
在本發明之一實施例中,一維奈米結構係一奈米管。在本發明之另一實施例中,一維奈米結構係一奈米導線。本發明中之至少一個一維奈米結構一般是碳基礎的奈米材料,並以熟知相關奈米技術人士所知悉的技術形成。
除了提供前述的半導體結構,本發明亦提供製造此類結構的方法。本發明的方法包含:提供一結構,其包含至少一閘極堆疊於至少一個一維奈米結構之層的表面上;形成源極/汲極金屬於包含至少一個一維奈米結構之結構上;以及源極/汲極金屬與至少一個一維奈米結構之層反應,以形成一金屬碳化物。
在本發明的一些實施例中,摻雜至少一個一維奈米結構之層未被至少一個閘極堆疊保護的部分。於此實施例中,金屬碳化物形成於至少一個一維奈米結構之層中裸露且未摻雜的部分上。
在本發明的另一實施例中,在形成金屬碳化物前,間隙壁形成於至少一個閘極堆疊的側壁上。當使用自行對準矽化物退火製程時,使用間隙壁。當使用非自行對準矽化物退火製程,則可以省略間隙壁。
在本發明的另一實施例中,至少一個一維奈米結構係嵌入導電化合物中,係藉由源極/汲極金屬與下方含碳(C)或氧化物的基板反應而產生。嵌入係發生於前述碳化物退火的步驟。
藉由參考本申請案的圖式,將對本發明中包含一維奈米結構的場效電晶體及其製造方法提供更詳細的描述。本發明中的圖式係用以舉例說明,因此並非依照實際的比例繪製。此外,圖式中僅揭示單一閘極區域。此處所使用的「閘極區域」係用以表示閘極、閘極電極以及其下的裝置通道。雖然僅揭示描述單一閘極區域,本發明亦考量形成複數個此類的閘極區域,因此在基板的表面上可形成複數個包含一維奈米結構的場效電晶體。
本發明首先開始於提供圖1A或圖1B中所示的初始基板。圖1A所示的初始基板10A包含半導體層12,其上包含介電層14。半導體層12包含任何形式的半導體材料,包含但不限於:矽(Si)、矽鍺(SiGe)、矽碳(SiC)、矽鍺碳(SiGeC)、砷化鎵(GaAs)、砷化銦(InAs)、磷化銦(InP)或是任何三五族(III/V)或是二六族(II/VI)的化合物半導體。半導體層12亦可包含層狀半導體,例如Si/SiGe或是Si/SiGeC。選替地,半導體層12亦可包含絕緣層上矽(SOI)或是絕緣層上矽鍺(SGOI)。在本發明的這個階段,半導體層12可以是未摻雜,或是經摻雜具有一或多個摻雜區域。再者,半導體層12也可以是應變或是未應變的,且也可以具有例如(111)、(110)或(100)等的結晶方向。再者,半導體基板12可用於背閘(backgate)或以習知技術建構其他鄰近的裝置(不論是否在同一晶片)。
在本發明的一些實施例中,當介電層14很厚時,半導體層12可以操作基板取代,例如金屬或玻璃。本發明也考量當整個基板以介電層14組成時的實施例。
介電層14可包含氧化物、氮化物、氮氧化物、含碳介電層,例如類鑽碳(DLC)或是氟化類鑽碳、高k介電質(k大於4.0,一般大於7.0)、有機介電質或其多層結構。於一實施例中,介電層14包含例如SiO2 的氧化物或是例如Si3 N4 的氮化物。於另一實施例中,介電層14包含DLC層。
圖1A所示的介電層14係使用習知沉積步驟形成在半導體層12的表面上,例如化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、化學溶液沉積、濺鍍、原子層沉積(ALD)、物理氣相沉積(PVD)、旋轉塗佈、磊晶成長或是其他類似的沉積步驟。本發明選替實施例中,介電層14亦可利用熱氧化、氮化或是氮氧化形成。
形成於半導體層12上的介電層14厚度,依所使用的介電材料種類及形成技術而異。一般而言,介電層14的厚度約從奈米以下(fraction of a nanometer)至約500奈米,而更典型為約1至約10奈米。前述的範圍適用於半導體基板及背閘製程。對於不具有電性功能的基板,整個基板可以是介電層,或介電層的厚度可以相當厚。
圖1B顯示可用於本發明的另一基板10B。尤其是,圖1B所示的初始基板10B包含半導體層12、介電層14以及嵌入介電層14內的含碳化合物16區域。含碳化合物16可以是任何包含碳的化合物材料,例如DLC或是氟化DLC。含碳化合物16係先在半導體層12的表面毯覆式沉積介電層14;在介電層14的表面形成圖案化光阻(未在圖中顯示);接著在介電層14蝕刻開口,以界定嵌入含碳化合物16的區域。圖案化光阻係以習知步驟形成,包含在介電層14的表面形成光阻;以圖案照射曝光光阻,並以習知光阻顯影劑顯影經曝光的光阻。在介電層14形成開口的蝕刻步驟包含乾蝕刻製程,例如反應式離子蝕刻、離子束蝕刻、電漿蝕刻或是雷熱融熔。除乾蝕刻外,本發明也可使用濕蝕刻以形成開口,而在介電層14中形成嵌入區域。
當圖案化遮罩在適當位置時,沉積含碳化合物16以形成例如圖1B所示的結構。形成在介電層14內之含碳化合物16深度,自介電層14的上表面量起,則約1奈米至約500奈米或是更深,而更典型的深度為約5至20奈米。
除非特別說明,以下描述均使用初始基板10A說明。雖然是使用初始基板10A說明,本發明以及接下來的步驟可適用於圖1B所示的初始基板10B或是非半導體基板。
接著,如圖2所示,至少一個一維奈米結構的層18形成在介電層14頂部。在使用初始基板10B的範例中,至少一個一維奈米結構之層18係形成在介電層14及嵌入的含碳化合物16的表面上。
至少一個一維奈米結構之層18可包含奈米管、奈米導線或是這兩種奈米材料的組合。如前所述,奈米管和奈米導線的差異在於奈米管一般具有中空的洞,而奈米導線則完全填滿奈米材料。一維奈米結構為具有奈米尺寸的直徑以及相對而言長度相當長的結構。換言之,這樣的結構具有大的外觀比,而且量子效應對於這些系統很重要。
於本發明之一較佳實施例中,至少一個一維奈米結構之層18包含奈米管,而於本發明之另一較佳實施例中,至少一個一維奈米結構之層18包含奈米導線。
可用於本發明的奈米管為單壁或是多壁的奈米材料,其具有一般是約0.4奈米至約30奈米之外徑,更典型的外徑約0.8奈米至約2.5奈米。而長度一般是約5奈米至約100微米,更典型的長度約10奈米至約10微米。可用於本發明的奈米管除了外徑,其內徑一般是約0.4奈米至約15奈米,更典型的內徑約0.8奈米至約2.5奈米。可用於本發明的奈米管進一步特色為具有大的外觀比,一般約為5的數級(order of about 5)或更大,更典型的外觀比約5至約5000。
可用於本發明的奈米導線包含不同的原子層,也就是多於一層,而其外徑一般是約0.4奈米至約100奈米,更典型的外徑約0.8奈米至約50奈米。而長度是約5奈米至約100微米,更典型的長度約10奈米至約10微米。可用於本發明的奈米導線的進一步特色為具有大的外觀比,一般約為5的數級或更大,更典型的外觀比約5至約5000。
本發明使用的至少一個一維奈米結構之層18一般包括碳基礎的奈米材料,其具有捲起來的六角晶格結構。也就是說,本發明的奈米結構一般包含碳,例如石墨(graphite)。雖然碳基礎的奈米材料為較佳的實施例,本發明也包含其他種類的奈米材料,例如金屬類或碳基礎與金屬類的組合。
在本發明的這個階段之至少一個一維奈米結構之層18的厚度,取決於形成的技術。一般而言,至少一個一維奈米結構之層18的厚度約為0.4至約500奈米,更典型的厚度為約0.8至約50奈米。在使用奈米管的實施例中,奈米管層18一般的厚度約為0.8至約3奈米。
在此所謂「至少一個一維奈米結構之層」一詞,係表示包含至少一奈米管或奈米導線的一層,以及含有受控制以及選定數量的此類一維奈米結構的一層。層18較佳是包含複數個一維奈米結構,因此接下來的文字將使用「一維奈米結構層」一詞。
一維奈米結構層18可使用已知的技術形成。舉例而言,碳基礎的奈米管可用電弧放電及碳靶材的雷射融熔形成。選替地,碳基礎的奈米管也可用有金屬微粒存在的化學氣相沉積形成。可用於本發明的奈米管之形成方法的製程詳細內容,可於下列文獻中找到,例如S.Iijima等所著,刊登於1991年Nature 354,56的「Helical Microtubes of Graphite Carbon」、D.S.Bethune等所著,刊登於1993年Nature 363,605的「Cobalt Catalyzed Growth of Carbon Nanotubes with Single-Atomic-Layer Walls」,以及R.Saito等所著,刊登於1998年的Imperial College Press的「Physical Properties of Carbon Nanotubes」,這些文獻的全部內容在此列為參考。再者,同受讓人之美國專利申請案第2004/0035355 A1號所提到的無催化劑成長方法也可用以形成作為層18的奈米管。’355公開申請案的全部內容在此也列為參考。在本發明之一實施例中,碳奈米管層18係以使用鐵催化微粒的化學氣相沉積在900℃下進行10分鐘來形成。
碳基礎的奈米導線也可以使用電弧放電以及碳靶材的雷射融熔形成。選替地,碳基礎的奈米導線可在含有金屬微粒的化學氣相沉積形成。可用於本發明的奈米導線之形成方法的製程詳細內容,可以在下列參考文獻中找到,例如:S.Botti等於2002年4月8日的Chemical Physics Letters,vol.355,no.5-6:385-9的文獻,其全部內容在此列為參考。於本發明之一實施例,碳奈米導線18的形成係使用雷射誘發的化學氣相沉積的氫化非晶碳奈米微粒(自乙烯和乙炔的混合物)作為前驅物(請參考S.Botti等於J.Appl.Phys.88,3396,2000的文獻),並利用下面的條件將其沉積於加熱的表面:約0.04大氣壓力,基板溫度約為1100℃,沉積時間約為90分鐘在約300 sccm流量的Ar載氣中形成。
除了前述形成一維奈米結構層18的技術,本發明也包含其他可以形成類似奈米結構的技術。舉例而言,溶液相分解(solution phase decomposition)、溶膠電泳(sol-gel electrophoresis),或是濕式化學氫熱合成均可用以形成一維奈米結構。
在圖1A或圖1B所示的初始基板的表面形成一維奈米結構層18後,閘極介電層20形成在層18的表面上,如圖3所示。在至少一個一維結構直接形成於半導體基板內的實施例中,閘極介電層20可藉由熱成長製程形成,例如氧化、氮化或是氮氧化。選替地,閘極介電層20可以用沉積製程形成,例如化學氣相沉積(CVD)、電漿輔助CVD、原子層沉積(ALD)、蒸鍍、反應式濺鍍、化學溶液沉積或是其他類似的沉積製程。閘極介電層20也可以藉由上述技術的組合而形成。
閘極介電層20包含絕緣材料,其包含但不限於:氧化物、氮化物、氮氧化物及/或包含金屬矽酸鹽和氮化金屬矽酸鹽的矽酸鹽類。於一實施例中,閘極介電層20較佳包含氧化物,舉例而言如SiO2 、HfO2 、ZrO2 、Al2 O3 、TiO2 、La2 O3 、SrTiO3 、LaAlO3 或是其混合物。
閘極介電層20的實體厚度可能不同,但一般而言,閘極介電層20的厚度約0.5至約100奈米,更典型的厚度約0.5至約10奈米。
形成閘極介電層20後,藉由習知沉積技術,例如物理氣相沉積、CVD或是蒸鍍,形成閘極電極22的毯覆層於閘極介電層20上。所沉積的閘極電極22厚度,亦即高度,依所使用的沉積技術而異。一般而言閘極電極22的垂直厚度約5至約180奈米,更典型的厚度約5至約50奈米。
閘極電極22可以包含任何一般用於CMOS結構閘極的導電金屬。可用於閘極電極22的此類導電金屬舉例而言包含但不限於:多晶矽、導電金屬、導電金屬合金、導電矽化物、導電氮化物、多晶SiGe或其組合,同時也包含其多層的結構。於一些實施例中(未顯示),包含氧化物或氮化物的閘極帽蓋層可形成在閘極電極22上方。再者,也可能在閘極電極材料的多層結構之間形成阻障層。
接著圖案化閘極電極22的毯覆層,以提供圖3所示的結構。可利用習知技術圖案化閘極電極22的毯覆層。舉例而言,可藉由微影及蝕刻圖案化閘極電極22。微影步驟包含塗佈光阻於閘極電極22的上表面(或如果有選用則於選用的閘極帽蓋層上)(未顯示);將光阻曝光於所需圖案的照射下;以及使用習知光阻顯影劑顯影經曝光的光阻。接著使用一或多道蝕刻製程,將光阻圖案轉移到閘極電極22的毯覆層(或先轉移至選用的閘極帽蓋層,其次到閘極電極22)。蝕刻包含乾蝕刻製程,例如反應式離子蝕刻、離子束蝕刻、電漿蝕刻或是雷射融熔。濕蝕刻也可以用來圖案化閘極電極22。如圖所示,蝕刻步驟選擇性地蝕刻部分的閘極電極22,並停止於閘極介電層20。當圖案已轉移到閘極電極後,以習知剝除步驟移除圖案化光阻。所形成的閘極尺寸可能約3奈米至數微米,較佳為介於7奈米及1微米。
圖4顯示類似於圖3的結構,除了所使用的初始基板為圖1B所示者,而且使用了對準標記100及/或101。對準標記100形成在基板內,而對準標記101形成在閘極介電層20的表面上。對準標記100和101係使用習知步驟形成,且係用以對準閘極層與其下基板。
圖5顯示移除閘極介電層20裸露的部分(不包含圖案化的閘極電極22(以及閘極帽蓋層))後的結構。移除閘極介電層20的裸露部分係以蝕刻製程進行,相較於閘極導體及/或一維奈米結構層18,其選擇性地移除閘極介電材料。此處包含乾蝕刻或是濕蝕刻,以選擇性地移除閘極介電層20裸露的部分。如圖所示,移除步驟裸露出層18與閘極堆疊24相鄰的部分。閘極堆疊24至少包含圖案化閘極電極22以及圖案化閘極介電層20。雖然顯示單一閘極堆疊24,也可以如前所述形成複數個閘極堆疊。
接著,如圖6所示,選擇性地摻雜第一導電類型的摻雜質26(可為n型或p型)至層18裸露的部分,以提供選擇性摻雜區域28。在此要強調的是,對層18裸露部分的摻雜是選擇性進行的,並不是所有範例都必須使用。摻雜可以靜電摻雜、氣相摻雜或其他類似摻雜技術進行。若一維奈米結構層18包含奈米導線,則可使用離子植入。摻雜質26可以是n型摻雜質,其包含元素週期表中五A族的至少一元素。摻雜質26也可以是p型摻雜質,其包含元素週期表中三A族的至少一元素。摻雜步驟提供的摻雜區域28一般濃度約101 9 至約102 2 原子/cm3 。尤其是,位於層18裸露區域中的摻雜區域28之摻雜濃度約102 1 至大約102 2 原子/cm3
接著,如圖7所示,選擇性地形成包含一或多層介電層的介電層堆疊29。請注意,介電層堆疊29係用來在閘極堆疊24的側壁提供間隙壁。在一些使用自行對準退火的實施例中,需要形成間隙壁。在一些其他使用非自行對準退火的實施例中,則無須形成間隙壁。
在本發明的一些實施例中,摻雜質植入步驟可以在間隙壁形成之前,或是在間隙壁之其一形成後及在其他間隙壁形成後。
在所示的實施例中,介電堆疊29包含第一介電層30以及第二介電層32。介電堆疊29包含介電材料,例如氧化物、氮化物或是氮氧化物。一般習知用以形成閘極介電層20的沉積技術,可用來形成介電層堆疊29。選替地,介電層堆疊29可由熱製程形成。介電層堆疊29的厚度可有不同,一般而言整體介電層堆疊29的厚度約5至約100奈米。
在一些實施例中,介電層堆疊29及後續間隙壁的形成,可以在前述選擇性摻雜步驟前進行。
在圖7中,介電層堆疊29包含由不同介電材料組成的第一介電層(亦即內間隙壁材料)30以及第二介電層(亦即外間隙壁材料)32。於一實施例中,第一介電材料30包含氮化物(例如氮化矽),而第二介電材料32包含氧化物(例如氧化矽)。
接著,進行蝕刻步驟,以自先前沉積過程中所有被覆蓋的水平表面,移除介電層堆疊29。蝕刻步驟如圖8和圖9所示。乾蝕刻、濕蝕刻或其組合均可使用。如實施例所示,第一次蝕刻係選擇性地自結構水平表面移除第二介電層32(如圖8所示),第二次蝕刻接著自結構的水平表面移除第一介電層30。最終結構包含如圖9所示的內間隙壁30’及外間隙壁32’。雖然顯示雙間隙壁,本發明亦包含在閘極堆疊24的側壁上形成單一間隙壁或是多個間隙壁。
在本發明之一選擇性實施例中,如圖10所示,一金屬化合物層34形成於先前形成在一維奈米結構層18中的摻雜區域28上。當選擇形成此類結構時,金屬化合物層34也可以形成於層18裸露且未摻雜的區域上。金屬化合物層34係使摻雜區域28(或層18裸露且未摻雜部分)作用,並有協助形成金屬碳化物區域。金屬化合物層34舉例包含c-C4 H6 =Mo=O(其中的c表示環狀),如H.Oudghiri-Hassani等所著,2003年於Applied Surface Science,212-213,第4-9頁發表的「Passivation of metal carbide surfaces:relevance to carbon nanotube-metal interconnections」中所描述,其中有機群及導電碳化物之間的雙鍵可用於:1)分子電子應用;2)一些鉬亞烷基(molybdenum alkylidene)化合物對反應的置換族(metathesis family)為活性的,以長出共價嫁接的(grafted)聚合物層作為鈍化層或是金屬碳化物接觸的操控(例如請參照K.J.Ivin等於1997年的Olefin Metathesis and Metathesis Polymerization,Academic Press,San Diego所發表的文章,以及A.Furstner等於2000年Angew.Chem.Int.Ed.Engl.39 3012所發表的文章)。
金屬化合物層34可選擇性地沉積,使得其與一維奈米結構層進行化學反應。當進行選擇性沉積時,如果發生底切時(發生於使用非等向性蝕刻時),層34可以進入側壁的底下。於一些實施例中,以反應式離子蝕刻或剝離製程形成的遮罩層可用於層34的沉積,使得層34不會延伸至側壁底下。金屬化合物層34的厚度因所使用的錯合物及所使用的技術而異。
接著,源極/汲極金屬36至少形成在先前形成於層18部分內的裸露摻雜區域28上,如圖11所示。在另一實施例中,源極/汲極金屬36形成在如圖10所示之金屬化合物層34上。在本發明的另一實施例中,源極/汲極金屬36至少形成在層18裸露且未摻雜的部分上。本發明中形成的源極/汲極金屬36使用共形沉積製程,例如:CVD、PECVD、化學溶液沉積、ALD、濺鍍、電鍍、蒸鍍或是其他類似製程。在本發明之一實施例中,源極/汲極金屬36係從一含碳靶材/來源(source)沉積。在本發明之一較佳實施例中,源極/汲極金屬36係以ALD沉積,以增加金屬至奈米結構的電流注入區域。尤其是,ALD提供源極/汲極金屬36在每個奈米結構周圍均勻覆蓋的方法。也就是說,可以形成源極/汲極金屬36的袖套,環繞每個層18中的奈米結構。
源極/汲極金屬36包含任何金屬或是類金屬元素,其足以與碳反應而形成穩定的二元金屬碳化物相。選替地,源極/汲極金屬可包含碳或是選擇性的其他元素。此類源極/汲極金屬範例包含:鋁(Al)、矽(Si)、鈧(Sc)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鐵(Fe)、釔(Y)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉿(Hf)、鉭(Ta)、鎢(W)及其混合物或其合金。較佳者,Al、Ti、Cr、Mo、W、Zr、Hf或Ta之至少其中之一係用於源極/汲極金屬36。化合物的形成可以在不同的氛圍中進行,例如氮氣、形成氣體、氯化物、溴化物、氟化物、氧化物及其他。不同的氛圍氣體會形成不同的導電化合物,其含有來自奈米管的碳,或嵌入奈米管本身。
源極/汲極金屬36的厚度依所使用的金屬及技術而異。一般而言,層36的厚度約3至約200奈米,更典型的厚度約5至約20奈米。
形成源極/汲極金屬36於結構上後,將結構進行退火步驟,其係進行於使奈米結構所含的摻雜區域28和源極/汲極金屬36反應的條件。在進行退火後所致的結構如圖12所示。如圖所示,金屬-碳化物區域38形成相鄰於閘極堆疊24;金屬-碳化物區域38對準閘極堆疊24的邊緣以及一維奈米結構層18剩餘層的邊緣。一維奈米結構的剩餘層係作為裝置通道。請注意:退火步驟可以在結構上留下一些源極/汲極金屬36。
在另一實施例(未顯示)中,退火導致源極/汲極金屬36與層18裸露且未摻雜的部分反應。此實施例亦形成金屬-碳化物區域。在另一實施例中,退火導致源極/汲極金屬36、選擇性的金屬化合物層34及層18摻雜或未摻雜部分的反應。在本發明另一實施例中,退火步驟導致一維奈米結構的嵌入導電化合物區域,其係因為金屬及其下包含碳或是氧化物的基板反應而產生。當嵌入發生時,間隙壁必須包含氮化物才不會喪失蝕刻選擇性。
本發明此時形成金屬碳化物38使用的退火步驟,係在約600℃或更高的溫度進行。一般而言,形成金屬-碳化物的退火是在約750℃至約1100℃。形成金屬-碳化物的退火係在鈍氣氛圍進行,例如He、Ar、Ne、Kr、Xe、N2 或是其混合物,例如He-Ar。退火進行次毫秒或更久,更典型的退火時間約10秒至約30分鐘。非常短時間的退火係以雷射退火達成。退火可以使用單一退火溫度或是多段退火溫度。退火可依所需包含不同的升溫循環、浸置循環及冷卻循環。
在形成金屬-碳化物區域38後,剩餘的源極/汲極金屬36,相較於金屬碳化物,使用蝕刻步驟選擇性移除金屬。圖13顯示使用上述步驟形成的可能結構。圖14和圖13的差異在於所使用的初始基板的形式。在兩個情況中,顯示一半導體結構,其包含基板10A或10B,並有至少一閘極區域於其上。至少一閘極區域包含圖案化閘極堆疊及一維奈米結構18的剩餘層。金屬碳化物接觸,亦即區域38,位於基板表面,並對準至少一閘極區域的邊緣及一維奈米結構18的剩餘層。
以上所述僅為本發明之較佳實施例而已,並非用以限定本發明之申請專利範圍;凡其它未脫離本發明所揭示之精神下所完成之等效改變或修飾,均應包含在下述之申請專利範圍內。
10A、10B...初始基板
12...半導體層
14...介電層
16...含碳化合物
18...一維奈米結構層
20...閘極介電層
22...閘極電極
24...閘極堆疊
26...摻雜質
28...摻雜區域
29...介電層堆疊
30...第一介電層
30’...內間隙壁
32’...外間隙壁
32...第二介電層
34...金屬化合物層
36...源極/汲極金屬
38...金屬-碳化物區域
100、101...對準標記
圖1A至圖1B係透過剖面圖,顯示可應用於本發明之不同形式的初始基板。
圖2係透過剖面圖,顯示圖1A之初始基板在形成至少一個一維奈米結構後的示意圖。
圖3係透過剖面圖,顯示圖2的結構在形成閘極介電層及圖案化閘極電極後的示意圖。
圖4係透過剖面圖,顯示類似於圖3所示的結構,除了其係使用圖1B中所示的初始基板並且使用對準標記。請注意當閘極遠小於接觸蝕,部分的裝置可以金屬性的奈米管製造而不用半導體性的奈米管。
圖5係透過剖面圖,顯示圖3的結構在圖案化閘極介電層後的示意圖。
圖6係透過剖面圖,顯示圖5的結構在對至少一個一維奈米結構層裸露部分選擇性摻雜時的示意圖。
圖7係透過剖面圖,顯示圖6的結構在形成選擇性的第一和第二介電層後的示意圖。
圖8係透過剖面圖,顯示圖7的結構在第二介電層被選擇性蝕刻後的示意圖。
圖9係透過剖面圖,顯示圖8的結構在第一介電層被選擇性蝕刻後的示意圖。
圖10係透或剖面圖,顯示圖9在進行一選擇性步驟後的示意圖,其中一金屬化合物形成於至少一個一維奈米結構層之選擇性摻雜部分上。
圖11係透過剖面圖,顯示圖9的結構在形成源極\汲極後的示意圖。
圖12係透過剖面圖,顯示圖11的結構在進行碳化物退火步驟後的示意圖。
圖13係透過剖面圖,顯示圖12的結構在蝕刻去額外的源極/汲極金屬後的示意圖。
圖14係透過剖面圖,顯示類似於圖13之結構,除了其係使用圖1B所顯示之初始基板的示意圖。
12...半導體層
14...介電層
18...一維奈米結構層
20...閘極介電層
22...閘極電極
28...摻雜區域
30’...內間隙壁
32’...外間隙壁
38...金屬-碳化物區域

Claims (26)

  1. 一種半導體結構,包含:一基板,包含至少一閘極區域於其上,該至少一閘極區域包含至少一個一維奈米結構之一層、位於該至少一個一維奈米結構之一表面上的一閘極介電層、以及位於該閘極介電層之一表面上的一閘極電極,其中該至少一個一維奈米結構之層係位於該基板與該閘極電極之間;至少一個間隙壁,位於該至少一個一維奈米結構之層的一表面上,其中該至少一個間隙壁之一內部邊緣(inner edge)係與該閘極電極之一側壁以及該閘極介電層之一側壁兩者皆側向(laterally)相鄰;以及一金屬碳化物接觸,位於該基板之一表面上,其中該金屬碳化物接觸係與該至少一個一維奈米結構之層之一側壁邊緣以及該至少一個間隙壁之一側壁邊緣兩者皆對準且側向相鄰但不重疊。
  2. 如申請專利範圍第1項所述之半導體結構,其中該至少一個一維奈米結構之層包含至少一奈米管。
  3. 如申請專利範圍第1項所述之半導體結構,其中該至少一個一維奈米結構之層包含至少一奈米導線。
  4. 如申請專利範圍第1項所述之半導體結構,其中該至少一個一維奈米結構之層包含奈米管和奈米導線的組合。
  5. 如申請專利範圍第1項所述之半導體結構,其中該至少一個一維奈米結構之層包含一碳基礎的奈米材料。
  6. 如申請專利範圍第1項所述之半導體結構,其中該基板包含一半導體層,具有一介電層於其中。
  7. 如申請專利範圍第6項所述之半導體結構,其中該介電層包含一含碳化合物之嵌入區域。
  8. 如申請專利範圍第7項所述之半導體結構,其中該含碳化合物係類鑽碳。
  9. 如申請專利範圍第1項所述之半導體結構,其中該金屬碳化物接觸包含一源極/汲極金屬以及該至少一個一維奈米結構之一預摻雜區域。
  10. 如申請專利範圍第9項所述之半導體結構,其中該源極/汲極金屬包含鋁(Al)、矽(Si)、鈧(Sc)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鐵(Fe)、釔(Y)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉿(Hf)、鉭(Ta)或是鎢(W)之至少其中之一。
  11. 如申請專利範圍第9項所述之半導體結構,其中該至少一個一維奈米結構之該選擇性預摻雜區域包含n型或p型摻雜質。
  12. 如申請專利範圍第1項所述之半導體結構,其中該至少一個一維奈米結構係嵌入一導電化合物區域中。
  13. 一種製造一半導體結構的方法,包含:提供至少一圖案化閘極堆疊於至少一個一維奈米結構之一層之一部份上,該至少一圖案化閘極堆疊由下而上包含一閘極介電層與一閘極電極,其中該閘極介電層與該閘極電極具有彼此對準的外部邊緣,且該至少一個一維奈米結構之層係直接位於一半導體層之一上表面上方的一介電層之一上表面上方;形成至少一間隙璧於該至少一個一維奈米結構之層的一表面上,其中該至少一個間隙壁之一內部邊緣(inner edge)係與該閘極電極之一側壁以及該閘極介電層之一側壁兩者皆側向(laterally)相鄰;形成一源極/汲極金屬於該至少一個一維奈米結構之層之其它部分上以及該圖案化閘極堆疊附近(around),該至少一個一維奈米結構之層之該其它部分係與該至少一個一維奈米結構之層之該一部分側向相鄰;以及 藉由使該源極/汲極金屬與該至少一個一維奈米結構之層的該其它部分反應,以形成一金屬碳化物,其中該金屬碳化物接觸係與該至少一個一維奈米結構之層之一側壁邊緣以及該至少一個間隙壁之一側壁邊緣兩者皆對準且側向相鄰但不重疊。
  14. 如申請專利範圍第13項所述之方法,其中該至少一個一維奈米結構之層包含至少一奈米管。
  15. 如申請專利範圍第13項所述之方法,其中該至少一個一維奈米結構之層包含至少一奈米導線。
  16. 如申請專利範圍第13項所述之方法,其中該至少一個一維奈米結構之層包含奈米管和奈米導線的組合。
  17. 如申請專利範圍第13項所述之方法,其中該至少一個一維奈米結構之層包含一碳基礎的奈米材料。
  18. 如申請專利範圍第13項所述之方法,其中該至少一個一維奈米結構之層係藉由電弧放電、一碳靶材之雷射融熔或化學氣相沉積形成。
  19. 如申請專利範圍第13項所述之方法,其中該介電層包含 一含碳化合物嵌入的區域。
  20. 如申請專利範圍第13項所述之方法,更包含在形成源極/汲極金屬前,摻雜該至少一個一維奈米結構之層未直接位於該至少一圖案化閘極堆疊下方之該其它部分,該摻雜包含靜電摻雜、離子摻雜或是氣相摻雜。
  21. 如申請專利範圍第13項所述之方法,其中形成該源極/汲極金屬包含選擇並沉積鋁(Al)、矽(Si)、鈧(Sc)、鈦(Ti)、釩(V)、鉻(Cr)、錳(Mn)、鐵(Fe)、釔(Y)、鋯(Zr)、鈮(Nb)、鉬(Mo)、鉿(Hf)、鉭(Ta)或是鎢(W)之至少其中之一。
  22. 如申請專利範圍第21項所述之方法,其中該沉積包含一原子層沉積製程或是自一含碳靶材之沉積。
  23. 如申請專利範圍第13項所述之方法,更包含在形成該源極/汲極金屬前,形成一金屬化合物,作為該至少一個一維奈米結構之層的該其它部份。
  24. 如申請專利範圍第13項所述之方法,其中形成該金屬碳化物包含退火並移除未與該至少一個一維奈米結構之層之該其它部份反應的剩餘源極/汲極金屬,其中該退火 引起該至少一些源極/汲極金屬與該至少一個一維奈米結構之層之該其它部份間的反應。
  25. 如申請專利範圍第24項所述之方法,其中該退火係在600℃或是更高溫度的鈍氣氛圍進行。
  26. 如申請專利範圍第13項所述之方法,其中該金屬碳化物係以退火形成,該退火亦使得該至少一個一維奈米結構嵌入一導電化合物區域中。
TW095100291A 2005-01-07 2006-01-04 奈米管/奈米導線場效電晶體之自行對準製程 TWI463654B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/031,168 US7598516B2 (en) 2005-01-07 2005-01-07 Self-aligned process for nanotube/nanowire FETs

Publications (2)

Publication Number Publication Date
TW200644240A TW200644240A (en) 2006-12-16
TWI463654B true TWI463654B (zh) 2014-12-01

Family

ID=36095653

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095100291A TWI463654B (zh) 2005-01-07 2006-01-04 奈米管/奈米導線場效電晶體之自行對準製程

Country Status (7)

Country Link
US (4) US7598516B2 (zh)
EP (1) EP1839346B1 (zh)
JP (1) JP5132320B2 (zh)
KR (1) KR101033445B1 (zh)
CN (1) CN101099248B (zh)
TW (1) TWI463654B (zh)
WO (1) WO2006072538A1 (zh)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2868209B1 (fr) * 2004-03-25 2006-06-16 Commissariat Energie Atomique Transistor a effet de champ a canal en carbone diamant
US7687841B2 (en) * 2005-08-02 2010-03-30 Micron Technology, Inc. Scalable high performance carbon nanotube field effect transistor
US7452759B2 (en) * 2005-11-29 2008-11-18 Micron Technology, Inc. Carbon nanotube field effect transistor and methods for making same
US7919400B2 (en) * 2007-07-10 2011-04-05 Stion Corporation Methods for doping nanostructured materials and nanostructured thin films
US8598569B2 (en) 2008-04-30 2013-12-03 International Business Machines Corporation Pentacene-carbon nanotube composite, method of forming the composite, and semiconductor device including the composite
US8138102B2 (en) * 2008-08-21 2012-03-20 International Business Machines Corporation Method of placing a semiconducting nanostructure and semiconductor device including the semiconducting nanostructure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437106B (zh) * 2008-12-03 2014-05-11 Tatung Co 磁性奈米一維金屬線及其製作方法
US8013324B2 (en) * 2009-04-03 2011-09-06 International Business Machines Corporation Structurally stabilized semiconductor nanowire
US7943530B2 (en) * 2009-04-03 2011-05-17 International Business Machines Corporation Semiconductor nanowires having mobility-optimized orientations
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8237150B2 (en) * 2009-04-03 2012-08-07 International Business Machines Corporation Nanowire devices for enhancing mobility through stress engineering
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8108802B2 (en) 2009-04-29 2012-01-31 International Business Machines Corporation Method for forming arbitrary lithographic wavefronts using standard mask technology
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US20110012177A1 (en) * 2009-07-20 2011-01-20 International Business Machines Corporation Nanostructure For Changing Electric Mobility
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8106383B2 (en) * 2009-11-13 2012-01-31 International Business Machines Corporation Self-aligned graphene transistor
US8841652B2 (en) * 2009-11-30 2014-09-23 International Business Machines Corporation Self aligned carbide source/drain FET
US20110127492A1 (en) * 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
KR101659815B1 (ko) * 2009-12-08 2016-09-27 삼성전자주식회사 탄소나노튜브 트랜지스터 어레이 및 탄소 나노튜브 트랜지스터의 제조 방법
US8101474B2 (en) * 2010-01-06 2012-01-24 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US8263477B2 (en) * 2010-01-08 2012-09-11 International Business Machines Corporation Structure for use in fabrication of PiN heterojunction TFET
US8722492B2 (en) * 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8513099B2 (en) 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US9368599B2 (en) 2010-06-22 2016-06-14 International Business Machines Corporation Graphene/nanostructure FET with self-aligned contact and gate
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US8697467B2 (en) * 2010-07-26 2014-04-15 The Regents Of The University Of California Surface and gas phase doping of III-V semiconductors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US8546246B2 (en) * 2011-01-13 2013-10-01 International Business Machines Corporation Radiation hardened transistors based on graphene and carbon nanotubes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013048417A1 (en) 2011-09-29 2013-04-04 Intel Corporation Electropositive metal containing layers for semiconductor applications
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8580624B2 (en) 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8741751B2 (en) 2012-08-10 2014-06-03 International Business Machines Corporation Double contacts for carbon nanotubes thin film devices
US8741756B2 (en) 2012-08-13 2014-06-03 International Business Machines Corporation Contacts-first self-aligned carbon nanotube transistor with gate-all-around
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8685817B1 (en) * 2012-11-19 2014-04-01 International Business Machines Corporation Metal gate structures for CMOS transistor devices having reduced parasitic capacitance
US8796096B2 (en) 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
US8609481B1 (en) 2012-12-05 2013-12-17 International Business Machines Corporation Gate-all-around carbon nanotube transistor with selectively doped spacers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9287516B2 (en) 2014-04-07 2016-03-15 International Business Machines Corporation Forming pn junction contacts by different dielectrics
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9299939B1 (en) 2014-12-09 2016-03-29 International Business Machines Corporation Formation of CMOS device using carbon nanotubes
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9543535B1 (en) 2015-06-29 2017-01-10 International Business Machines Corporation Self-aligned carbon nanotube transistor including source/drain extensions and top gate
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9627330B2 (en) * 2015-07-13 2017-04-18 International Business Machines Corporation Support for long channel length nanowire transistors
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
CN106575610B (zh) 2015-08-12 2019-12-24 新电元工业株式会社 碳化硅半导体装置的制造方法以及碳化硅半导体装置
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10319926B2 (en) 2015-11-05 2019-06-11 International Business Machines Corporation End-bonded metal contacts on carbon nanotubes
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US10396300B2 (en) 2015-12-03 2019-08-27 International Business Machines Corporation Carbon nanotube device with N-type end-bonded metal contacts
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9698363B1 (en) * 2015-12-30 2017-07-04 International Business Machines Corporation RF-transistors with self-aligned point contacts
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180130097A (ko) * 2016-03-30 2018-12-06 인텔 코포레이션 트랜지스터 집적을 위한 나노와이어
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10665798B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation Carbon nanotube transistor and logic with end-bonded metal contacts
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10665799B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation N-type end-bonded metal contacts for carbon nanotube transistors
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108269802B (zh) * 2017-01-04 2020-11-06 上海新昇半导体科技有限公司 一种碳纳米管束场效应晶体管阵列及其制造方法
US10410931B2 (en) 2017-01-09 2019-09-10 Samsung Electronics Co., Ltd. Fabricating method of nanosheet transistor spacer including inner spacer
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10333088B1 (en) 2017-12-12 2019-06-25 International Business Machines Corporation Carbon nanotube transistor with carrier blocking using thin dielectric under contact
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11373871B2 (en) 2018-11-21 2022-06-28 Applied Materials, Inc. Methods and apparatus for integrated selective monolayer doping
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110364438B (zh) * 2019-05-29 2023-05-05 北京华碳元芯电子科技有限责任公司 晶体管及其制造方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110571332B (zh) * 2019-08-02 2023-06-23 北京元芯碳基集成电路研究院 晶体管及其制造方法
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238887A1 (en) * 2001-07-05 2004-12-02 Fumiyuki Nihey Field-effect transistor constituting channel by carbon nano tubes

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002050277A (ja) * 1998-06-18 2002-02-15 Matsushita Electric Ind Co Ltd 電子放出素子及び電子放出源とそれらを使用した画像表示装置
US6472705B1 (en) 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
WO2002003482A1 (de) * 2000-07-04 2002-01-10 Infineon Technologies Ag Feldeffekttransistor
US7084507B2 (en) * 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
KR100837393B1 (ko) * 2002-01-22 2008-06-12 삼성에스디아이 주식회사 탄소와 친화도가 높은 금속을 전극으로 구비하는 전자소자
JP4259023B2 (ja) * 2002-02-05 2009-04-30 富士ゼロックス株式会社 カーボンナノチューブデバイスの作製方法、およびカーボンナノチューブデバイス
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
JP4974263B2 (ja) * 2002-05-20 2012-07-11 富士通株式会社 半導体装置の製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7051945B2 (en) * 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
US20040144972A1 (en) * 2002-10-04 2004-07-29 Hongjie Dai Carbon nanotube circuits with high-kappa dielectrics
DE10250829B4 (de) * 2002-10-31 2006-11-02 Infineon Technologies Ag Nichtflüchtige Speicherzelle, Speicherzellen-Anordnung und Verfahren zum Herstellen einer nichtflüchtigen Speicherzelle
DE10250868B8 (de) * 2002-10-31 2008-06-26 Qimonda Ag Vertikal integrierter Feldeffekttransistor, Feldeffekttransistor-Anordnung und Verfahren zum Herstellen eines vertikal integrierten Feldeffekttransistors
US6933222B2 (en) * 2003-01-02 2005-08-23 Intel Corporation Microcircuit fabrication and interconnection
JP4401094B2 (ja) * 2003-03-20 2010-01-20 富士通株式会社 炭素元素円筒型構造体へのオーミック接続構造及びその作製方法
JP4171917B2 (ja) * 2003-04-22 2008-10-29 コミサリア ア レネルジィ アトミーク ナノチューブまたはナノワイヤの少なくとも1つの電気特性を変更するための方法およびそれを含むトランジスタ
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
EP1508926A1 (en) * 2003-08-19 2005-02-23 Hitachi, Ltd. Nanotube transistor device
US7101761B2 (en) * 2003-12-23 2006-09-05 Intel Corporation Method of fabricating semiconductor devices with replacement, coaxial gate structure
US7306823B2 (en) * 2004-09-18 2007-12-11 Nanosolar, Inc. Coated nanoparticles and quantum dots for solution-based fabrication of photovoltaic cells
US7253431B2 (en) * 2004-03-02 2007-08-07 International Business Machines Corporation Method and apparatus for solution processed doping of carbon nanotube
US20050224807A1 (en) * 2004-03-25 2005-10-13 Ravi Kramadhati V Low dielectric constant carbon films
JP2005285822A (ja) * 2004-03-26 2005-10-13 Fujitsu Ltd 半導体装置および半導体センサ
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US20060063318A1 (en) * 2004-09-10 2006-03-23 Suman Datta Reducing ambipolar conduction in carbon nanotube transistors
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7374984B2 (en) * 2004-10-29 2008-05-20 Randy Hoffman Method of forming a thin film component
US7151296B2 (en) * 2004-11-03 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage lateral diffused MOSFET device
KR100592302B1 (ko) * 2004-11-03 2006-06-22 삼성에스디아이 주식회사 박막 트랜지스터를 구비한 기판의 제조방법, 이에 따라제조된 박막 트랜지스터를 구비한 기판, 평판 표시장치의제조방법, 및 이에 따라 제조된 평판 표시장치
US7582534B2 (en) * 2004-11-18 2009-09-01 International Business Machines Corporation Chemical doping of nano-components
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7365003B2 (en) * 2004-12-29 2008-04-29 Intel Corporation Carbon nanotube interconnects in porous diamond interlayer dielectrics

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238887A1 (en) * 2001-07-05 2004-12-02 Fumiyuki Nihey Field-effect transistor constituting channel by carbon nano tubes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
R. Martel et al., Phys. Rev. Lett., vol. 87, No. 256805, 17 Dec. 2001 V. Derucke et al., Appl. Phys. Lett., vol. 80, 2773-2775, 15 Apl. 2002 *

Also Published As

Publication number Publication date
US20080026534A1 (en) 2008-01-31
US8003453B2 (en) 2011-08-23
EP1839346B1 (en) 2014-03-19
EP1839346A1 (en) 2007-10-03
WO2006072538A1 (en) 2006-07-13
US20080227259A1 (en) 2008-09-18
CN101099248A (zh) 2008-01-02
CN101099248B (zh) 2010-09-08
JP5132320B2 (ja) 2013-01-30
US7598516B2 (en) 2009-10-06
KR20070093085A (ko) 2007-09-17
KR101033445B1 (ko) 2011-05-09
TW200644240A (en) 2006-12-16
US8119466B2 (en) 2012-02-21
US20060151844A1 (en) 2006-07-13
US20110256675A1 (en) 2011-10-20
JP2008527700A (ja) 2008-07-24

Similar Documents

Publication Publication Date Title
TWI463654B (zh) 奈米管/奈米導線場效電晶體之自行對準製程
EP1485958B1 (en) Self-aligned nanotube field effect transistor and method of fabricating same
US8587065B2 (en) Local bottom gates for graphene and carbon nanotube devices
US8785911B2 (en) Graphene or carbon nanotube devices with localized bottom gates and gate dielectric
US9368599B2 (en) Graphene/nanostructure FET with self-aligned contact and gate
US20120168723A1 (en) Electronic devices including graphene and methods of forming the same
US9923086B2 (en) CMOS device having carbon nanotubes
US20080149970A1 (en) Multi-gated carbon nanotube field effect transistor
US20100068828A1 (en) Method of forming a structure having a giant resistance anisotropy or low-k dielectric
JP5189380B2 (ja) カーボンナノチューブ素子
Bai Fabrication and magnetotransport properties of graphene nanostructures

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees