TWI434334B - 電漿cvd裝置 - Google Patents

電漿cvd裝置 Download PDF

Info

Publication number
TWI434334B
TWI434334B TW097144749A TW97144749A TWI434334B TW I434334 B TWI434334 B TW I434334B TW 097144749 A TW097144749 A TW 097144749A TW 97144749 A TW97144749 A TW 97144749A TW I434334 B TWI434334 B TW I434334B
Authority
TW
Taiwan
Prior art keywords
shower plate
diameter
reaction chamber
plasma
plate
Prior art date
Application number
TW097144749A
Other languages
English (en)
Other versions
TW200931508A (en
Inventor
Ryu Nakano
Hideaki Fukuda
Original Assignee
Asm Japan
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Japan filed Critical Asm Japan
Publication of TW200931508A publication Critical patent/TW200931508A/zh
Application granted granted Critical
Publication of TWI434334B publication Critical patent/TWI434334B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

電漿CVD裝置
本發明是有關於一種用於電漿化學氣相沈積(chemical vapor deposition,CVD)的方法以及裝置。具體而言,本發明是有關於一種簇射板(shower plate)。
通常,電漿處理裝置用於形成或去除膜或者用於改良(reform)待處理的目標的表面。具體而言,對於製造記憶體、諸如CPU的半導體元件或液晶顯示器(LCD)而言,在諸如矽基板或玻璃基板的半導體晶圓上形成(藉由電漿CVD)薄膜或蝕刻薄膜是有用的。
CVD裝置慣常用於在矽基板或玻璃基板上形成諸如氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)以及碳氧化矽(SiOC)的絕緣膜,也用於在矽基板或玻璃基板上形成諸如鎢化矽(WSi)、氮化鈦(TiN)以及鋁(Al)合金的導電膜。為形成此等膜,具有各種成分的多種反應氣體被帶入反應腔室內。在電漿CVD裝置中,此等反應氣體諸如藉由射頻(radio-frequency)或微波(microwave)能量而被激發成電漿,並且發生化學反應從而在藉由基座(susceptor)支撐的基板上形成所希望的薄膜。
在發生反應而在諸如矽晶圓的基板上對膜進行沈積之前,反應氣體自儲存容器流出(透過管道以及透過簇射板)而進入反應腔室。簇射板具有頂面以及底面,並且包括透過簇射板而自頂面延伸到底面的多個孔。包括反應氣體以 及清潔氣體的不同氣體在分配到基板上之前流過簇射板孔。簇射板的目的是在基板表面上均勻地分配反應氣體,以促進更均勻的膜沈積。為促進膜厚度的均勻度,典型地在一端處壓縮簇射板的孔,使得孔具有大於出口(或氣體出口點(gas point of exit))的入口(或氣體入口點(gas point of entry))。簇射板還可用作電極(諸如在平行板CVD裝置中),以在晶圓處理階段期間在反應腔室內將氣體激發成電漿。
在晶圓處理期間在反應腔室中藉由電漿化學反應而產生的產品導致不希望的沈積物堆積在反應腔室的內壁以及基座的表面上。當重複形成薄膜時,此等沈積物逐漸地累積在電漿CVD裝置內。結果,沈積物自內壁及基座表面脫落並且在反應腔室內漂浮。然後,沈積物作為異物而黏附到基板上並且引起雜質污染,這導致經處理的基板中的缺陷。
為去除此等黏附到反應腔室內壁的不希望的沈積物,已經使用電漿清潔方法。在一此種電漿清潔方法中,諸如NF3 的清潔氣體藉由在反應腔室外部(諸如在與反應腔室隔離的外部排放腔室內)的射頻功率(radio-frequency power)而被激發到電漿狀態。NF3 解離(dissociate),並且形成活性氟元素(其可與不希望的沈積物反應)。然後,活性氟元素被帶入反應腔室中,其中活性氟元素分解並且去除黏附到反應腔室內壁表面的外來沈積物。在一個樣例中,使用流 量受到控制的NF3 清潔氣體來去除黏附到反應腔室的內壁表面的外來物質導致了約1.5μm/min的有效清潔率。
近年來,半導體基板已經變大並且將繼續增大。由於逐漸增大的基板大小,反應腔室的容量也增加,導致黏附到反應腔壁的不希望的沈積物數量增加。隨著需要去除的沈積物的數量的增加,用於清潔的時間趨於變長。由於此增加的清潔時間,每單位時間處理的基板的數量(生產能力)下降。因此存在增加反應腔室的清潔效率以增加生產能力的需求。
在一個樣態中,本申請提供一種在處理晶圓之後使用遠程電漿排放元件來清潔CVD處理室的方法。自腔室中的基座去除處理的晶圓。將清潔氣體供應到遠程電漿排放元件。使用電漿能量來激活遠程電漿排放元件中的清潔氣體。其後,已激活的(activated)清潔氣體被傳送到腔室中並且透過面向基座的簇射板的多個孔。孔完全透過簇射板而延伸並且各具有均勻橫截面積。具有所有孔的簇射板的最小圓形面積的直徑是晶圓的直徑的0.95至1.05倍。
在另一樣態中,本申請提供一種在腔室中處理基板的方法。將基板放置在腔室中的基座上。然後,將反應氣體供應到腔室並且透過面向基座的簇射板的多個孔。孔完全透過簇射板而延伸,並且各具有均勻橫截面積。具有所有孔的簇射板的最小圓形面積的直徑是基板的直徑的0.95至1.05倍。
本申請的另一樣態包括具有電漿CVD反應腔室的電漿CVD裝置。用於支撐基板的基座設置在腔室內並且構造成用作第一電極,以產生電漿。用作第二電極以產生電漿的簇射板面向基座並且具有透過簇射板而延伸的多個孔,孔各具有均勻橫截面積。具有所有孔的簇射板的最小圓形面積的直徑為可配合在基座的限制結構(confining structure)內的最大可能基板的直徑的0.95至1.05倍。簇射板電性連接到一個或多個電源。
在另一樣態中,在電漿CVD元件中使用的簇射板包括具有電性導電延長線的板,其中此電性導電延長線構造成連接到電源以允許板用作電極。板包括透過板而延伸的多個孔並且各具有均勻橫截面積。
雖然已經相對於特定的實施例介紹了本申請,本領域習知此項技藝者應該理解的是,在不背離本發明的精神以及範圍的情況下可作形式以及細節的改變。因此,本發明並不限於在本發明的發明內容中所介紹的形式以及細節。本領域習知此項技藝者將認識到,在不背離本發明的範圍的情況下可對所介紹的製程以及裝置作各種省略、附加以及修改,並且所有此等修改以及改變試圖落入本發明的範圍內。
本申請是有關於一種電漿化學氣相沈積(CVD)裝置,此裝置具有用於遠程激活(remote activation)清潔氣體的遠程電漿產生器。更具體而言,本申請是有關於一種新的簇 射板,此簇射板具有帶有均勻的橫截面積(cross-sectional area)的改進的孔,以提高腔室清潔率,從而增加生產能力。
在平行板電漿CVD裝置中,簇射板用作在反應氣體中產生原位(in situ)電漿的上電極。藉由改進簇射板的孔(包括對孔的尺寸的改進),可提高腔室清潔率。而且,「孔加工面積(hole machining area)」的大小的仔細選擇與改進的孔一起意外地(unexpectedly)導致在晶圓處理期間改進沈積膜的均勻度,並且有時還致使清潔率提高。在本文中所使用的孔加工面積指的是包圍簇射板的所有孔的最小圓形面積。此等改進以及下文中揭露的其它改進藉由使用平行板CVD裝置的遠程電漿清潔來進行實驗而發現。具體而言,使用ASMIEagle®12電漿CVD裝置(由日本東京的ASM日本K.K.銷售)在300mm基板上進行此等實驗。為參考,Eagle®12電漿CVD裝置在2007年4月6日提出的美國專利公開號2007-0248767A1中介紹。
如上所述,一個習知的裝置(見美國專利號6,736,147)達到約1.5μm/min的清潔率。然而,由於晶圓大小增加而使得反應腔室變大,因而應該提高清潔率,以保證較高的生產能力。如同使用鑽頭(drill bit)而獲得的那樣,本申請的實施例藉由改進簇射板的孔以使得它們具有均勻的橫截面積(較佳地是圓形的)來增大清潔率。
本申請的實施例提供一種電漿CVD裝置,此裝置執行清潔功能從而以較高的腔室清潔率來去除不希望的沈積物而無論反應腔室或待處理的晶圓的大小,本申請的實施 例還提供了一種用於執行此等清潔的方法。藉由具有較高的腔室清潔率,減小了反應室的停機時間並增大了裝置的生產能力。
本申請的實施例提供了一種改進的簇射板,此簇射板具有帶有均勻橫截面積的孔,在平行板CVD裝置中簇射板較佳地用作上電極同時基座較佳地用作下電極。在一些實施例中,通向電源的電性導電延長線(conductive extension)連接到簇射板。功率可例如藉由射頻(RF)功率源或藉由允許簇射板用作電極的高及低RF功率源組而提供。
本申請的實施例提供了電漿CVD裝置,此裝置具有改進的簇射板,在晶圓處理階段期間此簇射板促使以較高的腔室清潔率進行自清潔(self-cleaning),而不顯著犧牲沈積膜的厚度均勻度。在某些實施例中,本申請的一個目的是,保證對習知的電漿CVD裝置的完全改進以滿足工業製造均勻度標準。
為達到上述目標,在實施例中,本申請提供電漿CVD裝置包括:(i)反應腔室;(ii)基座,用於放置基板,所述基座設置在反應腔室內並且構成用於產生原位電漿的兩個電極中之一者;(iii)簇射板,用於排放反應腔室內的反應氣體或清潔氣體,所述簇射板設置成與基座平行並且構成用於產生電漿的其它電極;以及(iv)功率源(例如,射頻),電性連接到簇射板。藉由改進簇射板的特徵,也即藉由改進自板的底面延伸到板的頂面的簇射板的孔,可達到更高的清潔率。在一個實施例中,簇射板具有筆直、均勻的通孔, 此通孔允許較習知的簇射板(其具有受到限制的孔)更高的清潔率。例如,一個特定的習知簇射板具有直徑為1.0mm而在板的底面處具有0.5mm限制(restriction)的孔(如圖2A所示)。藉由改進用於簇射板的孔,使得它們是筆直的並且具有均勻的橫截面積,反應腔室可具有大於2200nm/min的清潔率。例如,在一個實施例中,簇射板具有均勻直徑(例如1.0mm)的孔。
在上文中,考慮到防止在簇射板上形成的所謂寄生電漿(異常電漿)流過簇射板並且干擾沈積製程,電漿CVD裝置還可包括安裝到腔室的頂壁的陶瓷管道(反應氣體以及清潔氣體可流過此陶瓷管道),此管道具有大於35mm的長度。在下文中解釋此等管道的重要性。
在一個實施例中,考慮到要防止由於對孔進行改進使之具有均勻橫截面積而降低了膜厚度均勻度,還改進了簇射板的孔加工面積。在進行上述實驗中,意外發現藉由減小孔加工面積(習知的表面面積比此面積大18.1%並且其直徑比此直徑大8.7%)的大小,可提高膜厚度的均勻度。在一個實施例中,反應腔室具有帶有孔加工面積直徑的簇射板,其中此孔加工面積的直徑是待處理基板之一面(side)的直徑的0.95至1.05倍。這與圓形孔加工面積相應,其中此圓形孔加工面積是待處理基板之一面的面積的0.90至1.10倍。其不僅影響到孔加工表面的面積與基板之一面的表面積之比率(其中此比率與在基板上沈積的膜的膜厚度均勻度有關),還影響到清潔率。意外地發現到,減小孔 加工面積還可顯著提高清潔率。為進一步保證較好的膜厚度均勻度,在另一實施例中,簇射板的經改進的孔沿簇射板的表面以螺旋圖案設置。
圖1顯示了根據一個實施例具有遠程電漿清潔元件的平行板電漿增强CVD(PECVD)裝置180。應該理解的是,可使用備用的電漿CVD裝置。電漿CVD裝置180可用於形成或去除膜,或用於改良基板1的表面。電漿CVD裝置180包括反應腔室102,此反應腔室102容納有用於放置諸如玻璃基板或矽基板的基板1的基座105。位於反應腔室102的一個側壁上是排氣埠(exhaust port)125。在平行板CVD裝置中,基座105用作下電極。基座105可由陶瓷或鋁合金、或通常用於支撐基板的任何其它的材質而製成。如果將基座105用作產生原位電漿的電極,應該理解的是,所使用的材質必須符合電極的導電功能。在這種情況下,基座105較佳地為電性接地。在一些實施例中,用於加熱基座105和基板1之電阻熱元件(resistor heating device)被植入基座105內。在其它實施例中,輻射熱燈(radiant heat lamp)用於加熱基座105以及基板1。應該理解的是,可採用不同類型以及組合的加熱元件,並且加熱的具體模式對本發明並不重要。
簇射板120位於與基座105相對並面向基座105的位置上,此簇射板120具有自簇射板的底面到其頂面而延伸透過簇射板的多個孔。簇射板120可由鋁或鋁合金、或其它合適的金屬製成。在一個實施例中,簇射板120具有實 質上與基座105的上表面平行的平坦的底面。在其它實施例中,簇射板120的底面可以是彎曲的、或是平坦表面與彎曲表面的組合。簇射板120較佳地用作與下電極(諸如基座105)協作(cooperate)的上電極,以自反應氣體產生原位電漿。簇射板120較佳地構造成使得反應氣體在基板上沈積實質上均勻的膜,這意味著孔遍佈支撐在基座105上的基板1的水平尺寸而設置。可在簇射板120之一面上置放空氣致冷風扇(air-cooling fan)142,以防止簇射板120的溫度改變。
為產生電漿,電源122及124(例如,射頻)藉由匹配電路(matching circuit)128電性連接至簇射板120,其中匹配電路128藉由同軸RF電纜175連接到電源122及124。此等電源122及124藉由供應(在某些實施例中)幾百kHz至幾十MHz的頻率來產生電漿。儘管電源122及124可具有相同的頻率,在較佳的實施例中電源具有不同的頻率,一個高而一個低,以在晶圓處理中提高膜品質的控制性能。本領域習知此項技藝者還將應當認識到,除射頻功率源之外還可使用諸如微波功率源(microwave power source)的其它功率源。
用於晶圓處理的反應氣體可儲存在單獨的容器中並且可藉由諸如沈積氣體運送管133的管道而被供應至簇射板120。在所說明的實施例中,在到達簇射板120之前,反應氣體穿過緩沖板138,其中此緩沖板138用於在簇射板120上均勻地分配氣體。在穿過緩沖板138之後,反應氣體流 過簇射板120的孔並且流入反應腔室102的中心區域148。一旦到達反應腔室102內,那麽反應氣體藉由電源122及124而被激發到電漿狀態,結果發生使膜沈積在基板的表面上的化學反應。藉由電漿反應腔室而產生的產品也堆積在反應腔室102的內壁上以及基座105及簇射板120的表面上,必須周期性地清潔以保證不希望的沈積物不會污染處理的基板。
儘管各種反應氣體可用於本發明的晶圓處理,上述實驗使用正矽酸乙酯(tetra-ethyl-ortho-silicate)或同等的矽酸四乙酯(tetra-ethoxy-silane)(TEOS)以及氧氣(O2 ),以在矽基板上形成TEOS氧化膜。通常TEOS與氧氣(O2 )同時使用,以在基板上形成氧化層。用於此製程的典型條件為:250sccm的TEOS流率、2.3slm的O2 流率、簇射板120(用作上電極)以及基座105(用作下電極)之間10mm的距離、400Pa的反應腔室壓力、600W的較高射頻功率(13.56MHz)及400W的較低射頻功率(430kHz)、360℃的基座105溫度、150℃的簇射板120溫度以及140℃的反應腔室102內壁溫度。
繼續參考圖1,管道131自反應腔室102的上開口而延伸,反應和/或清潔氣體可流過此管道131。管道131可由諸如鋁的金屬而製成,並且可連接到隔離閥135以及第二管道136。第二管道136定位在簇射板120上並且可由包括陶瓷材質的介電材質而構成。遠程電漿排放元件140連接到諸如清潔氣體運送管151的管道。清潔氣體可運送 自清潔氣體源170並且可藉由清潔氣體運送管151傳送到遠程電漿排放元件140中。儘管可使用各種清潔氣體,在一個實施例中清潔氣體包括與惰性運載氣體或氧氣混合的含氟氣體,諸如C2 F6 +O2 、NF3 +Ar或F2 +Ar。在遠程電漿排放元件140內,電漿能量激活清潔氣體,導致流過管道131及簇射板120的活性清潔物種(species)進入反應腔室102內。活性清潔氣體物種與黏附到反應腔室102的內壁及簇射板120的表面的不希望的沈積物發生化學反應。這使得不希望的沈積物氣化並且此後被排放到反應腔室的排氣埠125的外面,並且藉由真空泵經過流導調節閥(conductance regulation valve)155。
圖2A及圖2B顯示了簇射板孔,在進入反應腔室之前反應氣體及清潔氣體流過此簇射板孔。較佳地此等孔在簇射板中加工,並且佔用在本文中被稱作為「孔加工面積」的簇射板面積。圖2A顯示了用於現有技術的習知的孔,而圖2B顯示了本發明的經改進的孔的一個實施例。
圖2A顯示了具有兩個不同大小的入口212及出口214的習知的孔208。如圖2A所示,入口的直徑依據2:1的比率而大於出口的直徑214,當入口直徑為1.0mm時,出口直徑是0.5mm。已經建立具有不同的入口及出口直徑的此等習知的孔,以增加沈積的膜厚度的均勻度。例如,在使用TEOS及O2 作為反應氣體以在基板上沈積TEOS氧化的實驗中,使用習知孔208的膜厚度的均勻度約為±1.8%,此均勻度優於在工業製造中所要求的典型的均勻度 (±3.0%)。然而,在清潔製程期間,使用習知孔僅在反應室中導致約1.40μm/min的清潔率。
圖2B顯示了本申請的簇射板孔220的一個實施例。所顯示的簇射板孔220具有沿它們長度的均勻的橫截面形狀,或者,在圓形孔的情況下,簇射板孔220具有均勻直徑。此等改進的簇射板孔220較佳地為筆直以及竪直地定向,並且自簇射板的底面延伸到簇射板的頂面。孔220可相互間隔開2mm至5mm的距離。簇射板孔220可各具有0.5mm至1.0mm的均勻直徑(儘管也可以具有其它的大小)。在如圖2B所示的較佳的實施例中,改進的孔220具有1.0mm的均勻直徑。
藉由具有均勻直徑的簇射板孔,比習知的簇射板提高了清潔率。例如,當使用圖2A的習知孔208的清潔率約為1.40μm/min時,在相似條件下使用圖2B的改進的孔220的清潔率約為2.36μm/min。在一些實施例中,正如在此例中,清潔率超過2.20μm/min。使用均勻直徑孔220的另一好處是它們的成本效率更高,因為相較於具有兩個不同直徑的習知孔208,它們更容易加工。
藉由改進而達到的更高清潔率,可藉由阿列紐斯(Arrhenius)反應率以及化學反應期間的溫度之間的關係來解釋均勻直徑的孔。阿列紐斯反應率以及溫度之間的關係可藉由以下公式表示:k=exp(-E/RT) ,其中k是速率常數(rate constant)、A是頻率因數、E是激活能量、R是氣體常數以及T是絕對溫度。對本申請而言,k代表清潔率,而A主 要依賴於氟基(fluorine radical)(F*)的局部壓力。此公式顯示,增大A及T將獲得更高的清潔率k。一種增大A的方法是增加活性氟基的數量,這將增大清潔率。
已經發現,氟基F*的局部壓力的增大可藉由增大透過簇射板的氣體流導而達到。在具有如圖2A所示的減小的直徑的孔的習知簇射板中,流導減小。這是因為由於壁的直徑受到限制而在活性氟基以及孔的內壁之間發生許多碰撞,其中此碰撞致使活性氟基從活性F*失活成非活性(deactive)的F2 。因為非活性氟成分並不能有效地與不希望的膜沈積反應,因此清潔率減小。因此,改進簇射板以具有均勻的橫截面通孔可減少活性氟基以及內孔壁之間的碰撞數量,這將導致比在習知的簇射板中更少數量的非活性氟基並且增大在反應室中的清潔率。
儘管提供改進的孔220將導致超過習知孔208的提高了的清潔率,其可還致使沈積膜的厚度均勻度降低到工業製造標準之下,這就是使用習知的限制的孔208的原因。習知地,為處理300mm的晶圓,使用具有直徑約為326mm的孔加工面積的簇射板。在使用TEOS及O2 作為反應氣體並且使用圖2B的改進的孔220的實驗中,沈積的TEOS氧化的膜厚度均勻度為±3.41%,這比使用習知孔208時更差。此均勻度也比在工業製造中要求的典型均勻度(±3.0%)更差。因此,僅在可提高降低的膜均勻度以滿足工業製造標準的情況下,才可保持藉由具有均勻大小通孔220而具有較高清潔率的好處。在這點上,已經發現,改變簇射板 的孔加工面積的大小可在不犧牲較高清潔率的情況下提高膜厚度均勻度。在一些實施例中,將孔加工面積的直徑的大小減小到小於習知大小(約326mm)還導致更高的清潔率。
圖3A以頂視圖以及側視圖的形式顯示了本申請的簇射板120的一個實施例,其中此簇射板120具有經仔細選擇的孔加工面積的大小。儘管孔加工面積可是各種型狀的,較佳地,鑒於商業晶圓同樣是圓形的事實,圓形面積302包圍所有孔220(圖2B)。在較佳的實施例中,孔加工面積302是包圍所有孔220的最小圓形面積。所進行的實驗顯示,藉由改變與基板的表面的面積有關之孔加工面積的大小,可維持滿足工業標準的沈積厚度均勻度。在不改變孔加工面積的大小的情況下,僅改變孔而使得它們具有均勻橫截面積將導致更高的清潔率,但會導致降低的膜厚度均勻度。因此,孔加工面積的大小與基板之一面的大小的比率是較佳地選擇成落入在某一範圍內。在所說明的實施例中,簇射板120不是完全平坦的,而是具有凸起的竪直抬肩(shoulder)356,其中此抬肩356帶有限定了凹部361的內部竪直壁355。在一個實施例中,限定凹部的內部竪直壁355的直徑是350mm。
孔加工面積302僅包括簇射板(其邊界顯示在310處)的大小的一部份。未被孔加工面積302佔用的簇射板的面積並不具有用於氣體的通流的孔。包圍孔加工面積302的面積(包括抬肩356)是指定為312。
圖3B顯示圖3A的改進簇射板120的孔220的排列的一個實施例,其中孔在簇射板的表面上形成螺旋圖案323。藉由促進保證比其它圖案更均勻的膜厚度沈積,螺旋圖案323在非螺旋圖案上提供改進。然而,應該理解的是,可使用具有變化圖案(螺旋或非螺旋)的簇射板並且仍將達到滿足工業製造標準的厚度均勻度。
圖4是顯示腔室清潔率及沈積的膜厚度均勻度與圓形孔加工面積302(圖3A)的直徑的依存關係的曲線圖,其中此孔加工面積302對於300mm晶圓具有均勻直徑為1.0mm的孔220(圖2B)。為參考,圖4還顯示了對於習知大小的孔加工面積302使用習知孔208(圖2A)而獲得的清潔率以及膜厚度均勻度。習知孔加工面積302具有約為326mm的直徑。
圖4顯示了使用在孔加工面積(具有約326mm的直徑)中具有習知孔的簇射板的問題,還顯示了在不改變孔加工面積的情況下轉變到均勻的1.0mm直徑孔的問題。在此情況下,當清潔率從約1.4μm/m增大到2.4μm/m時,膜厚度均勻度自約±2%不希望地增大到大於±3%,在工業製造標準下這是不可接受的。藉由如圖4所示那樣減小孔加工面積,意外發現膜厚度均勻度問題的解决方案。還意外地發現,藉由減小孔加工面積並且使用筆直、均勻的直徑通孔事實上提高了清潔率。
圖4是顯示怎樣測試具有不同直徑(270、290、300以及310mm)的孔加工面積來確定最佳的直徑範圍從而達到 較高清潔率以及令人滿意的小於±3.0%的膜均勻度、更佳地甚至達到小於±2.0%的膜均勻度的曲線圖。如圖4所示,已經發現,具有285mm以及310mm之間的直徑的孔加工面積導致極佳的腔室清潔率(較藉由習知簇射板所獲得更佳),以及低於±3.0%的較好的膜厚度均勻度。更特定而言,發現具有300mm直徑的孔加工面積產生較習知簇射板更佳的非常高的清潔率(約2.9μm/min)以及非常好的沈積物均勻(小於±2.0%)。
儘管對於構造成處理300mm基板的基座而言,較佳的孔加工面積直徑範圍在285mm及310mm之間,但對於其它大小的基板可使用其它的孔加工面積直徑。具體而言,已經發現,具有基板的直徑的0.95至1.05倍之間的直徑的孔加工面積將產生非常好的清潔率以及經沈積的膜厚度均勻度。在較佳的實施例中,孔加工面積的直徑的比率在基板的直徑的0.977至1.027倍之間。因此,在處理300mm基板時,孔加工面積302可具有在285mm至315mm之間的直徑,並且更佳地,直徑在293.1mm至308.1mm之間。對於處理450mm基板,孔加工面積302可具有在427.5mm至472.5mm之間的直徑,更佳地在439.7mm至462.2mm之間。對於處理200mm基板,孔加工面積302可具有在190至210mm之間的直徑,更佳地在195.4mm至205.4mm之間。
圖5顯示了根據一個實施例的反應腔室400的內部,其中此反應腔室400具有基座430、置放在基座上的晶圓 422以及改進的簇射板120。基座430可是各種型狀以及各種大小的。在一個實施例中,如圖5所示,基座430包括諸如環形抬肩或壁431(其限定了緊密配合有晶圓422的腔穴(pocket)或凹部438)的基板限制結構。還可依賴於晶圓422(基座430設計成支撐此晶圓422)的大小來改變凹部438的直徑。在另一實施例中,基座430可是平坦的並且是沒有凹部的。在圖5中還顯示了孔加工面積103的表面面積411以及晶圓422之一面的表面面積423。在一個實施例中,孔加工面積103的圓形表面面積411的直徑在可配合在腔穴438內的最大可能基板之一面的圓形表面面積423的直徑的0.95至1.05倍之間。在較佳的實施例中,孔加工面積103的圓形表面面積411的直徑在可配合在腔穴438內的最大可能基板之一面的表面面積423的直徑的0.977至1.027倍之間。
圖6A以及圖6B顯示實驗條件以及實驗結果的相關圖表,其中此實驗顯示了藉由(1)如圖2A所示的具有孔208的習知簇射板以及326mm的孔加工面積直徑,以及(2)本發明的實施例的改進的簇射板(具有如圖2B所示的孔220以及300mm的孔加工面積直徑)而達到的清潔率以及沈積的膜厚度均勻度。此等實驗在300mm基板上進行。在此等實驗中,在使用TEOS及O2 而沈積1μm的氧化矽膜之後,使用NF3 及Ar清潔腔室。腔室的清潔在以下條件下發生:2.2slm的NF3 流率、5slm的Ar流率、14mm的上電極及下電極之間距離、1000Pa的反應腔室壓力、2.7kW的 遠程電漿排放元件功率、360℃的基座溫度、150℃的簇射板溫度以及140℃的反應腔室內壁溫度。在此等條件下,反應腔室的清潔發生約43秒。
圖6A是顯示實驗條件的圖表,在此實驗中將反應源氣體(TEOS及O2 )引入到反應腔室中,以形成TEOS氧化膜。此反應在三個不同的條件(列2-4)下使用習知的簇射板(列1)以及改進的簇射板而進行。可調節的變量包括反應氣體的流率、腔室壓力(「壓力」)、較高的射頻功率(「HRF」)、較低的射頻功率(「LRF」)、反應腔室中上下電極之間的距離(「差距」)、基座溫度(「SUS」)、腔室壁溫度(「WALL」)以及簇射板溫度(「SHD」)。如圖6A的列2所示,使用改進的簇射板而將TEOS引入到反應腔室中的第一條件在所有方面都與使用習知簇射板(例如相同的反應流率、壓力、溫度以及射頻能量位準)的運行相同。在第二條件(列3)下,TEOS及O2 源氣體的流率減少了第一條件的流率的10%,以減少氣體消耗量。在第三條件(列4)下,維持經減小的源氣體的流率,以減少氣體消耗量,並且調節高及低射頻功率位準(HRF及LRF)。藉由調節射頻功率,這將獲得與習知條件(如圖6B所示)下的膜應力相同的膜應力。
圖6B是顯示在圖6A中所示的三個條件下藉由使用習知簇射板以及改進的簇射板而達成的結果清潔率以及在300mm晶圓上沈積的膜厚度均勻度的圖表。在所有三個條件下,改進的簇射板相較於習知簇射板而獲得更快的沈積率以及更高的腔室清潔率。而且,具有減小的孔加工面積 直徑的改進的簇射板,還表現出超過習知簇射板的提高的膜厚度均勻度,每一實例小於或等於1.5%。
如上所述,藉由改進簇射板而使之具有諸如均勻直徑(例如1mm)的均勻橫截面的孔,可達到較高清潔率。除減少膜厚度均勻度問題(其可藉由將孔加工面積減小到適當的直徑而改進)之外,有關於寄生電漿(也被稱為異常(abnormal)電漿)的另外問題在使用具有均勻橫截面孔的改進的簇射板來替代習知簇射板時出現。在圖7A中顯示了此問題並在下文中討論。
圖7A顯示了CVD裝置425的上部,其中此CVD裝置425具有本發明的簇射板120以及連接到簇射板的習知30mm陶瓷管道430。管道430的上部連接到鋁管道480,而鋁管道480進一步連接到隔離閥495。在反應氣體被傳送到反應腔室中並且激活成原位電漿的處理階段期間,正常沈積電漿450在簇射板120之下形成(develop),而寄生電漿466在管道430中的簇射板120上以及在簇射板及反應腔室的室頂(ceiling)之間限定的水平空間(plenum)中形成。儘管寄生電漿發生在具有非均勻的孔(諸如圖2A所示的孔208)的習知簇射板的CVD反應室中,寄生電漿466的數量通常位於可容忍的位準上(其不會反向影響反應腔室中的膜沈積)。然而,藉由改進簇射板而使之具有更大直徑的孔(圖2B的諸如孔220),寄生電漿466的數量趨向於增加,這在晶圓處理期間是不所希望的。
一種補救藉由改進的簇射板而引起的寄生電漿的增加的方法是修改用於習知系統的管道430。圖7B顯示了CVD裝置430的上部的閉合(close up),此CVD裝置430具有由陶瓷材質製成而安裝在簇射板120上的改進的管道442。陶瓷管道442比習知管道430長。在使用更長的陶瓷管道時,RF接地(ground)以及簇射板的上部(RF裝載部份)之間距離增大,使得電場强度降低,而導致在簇射板120上產生更少的寄生電漿。改進的陶瓷管道442的長度較佳地大於用於習知CVD裝置的管道430的長度(其典型地約為30mm)。然而,在實施例中,改進的陶瓷管道442大於35mm,更佳地大於45mm,並且在一個具體實施例中,大約為55mm以保證即使使用筆直、均勻大小的孔,寄生電漿的風險也較低。
圖8是顯示在使用(1)具有孔208(圖2A)的習知簇射板以及習知陶瓷管道、(2)具有孔220的本發明的一個實施例的簇射板(圖2B)及習知陶瓷管道以及(3)具有孔220的本發明的一個實施例的簇射板(圖2B)及如圖7B所示的更長陶瓷管道時,在晶圓處理期間在一定的條件下有或無寄生電漿產生的曲線圖,其中一定的條件是指反應腔室壓力(竪直軸)以及較高射頻(HRF)功率(水平軸)的組合的範圍。如在曲線圖中所示,使用更長的管道將極大減小在晶圓處理期間產生的寄生電漿的存在,使得可在使用比習知的長度更短的陶瓷管道時在更低的反應腔室壓力(例如,200Pa)以及更高的HRF位準(例如,700W)情況下執行沈積製程。
對於本領域熟知其技藝者顯而易見,在不背離範圍或精神的情況下可在本發明中做各種修改以及變型。因此,在假設本發明的修改及變形在申請專利範圍或其同等物的範圍內的情況下,本發明試圖覆蓋此等本發明的修改及變型。
1‧‧‧基板
102‧‧‧反應腔室
103‧‧‧孔加工面積
105‧‧‧基座
120‧‧‧簇射板
122‧‧‧電源
124‧‧‧電源
125‧‧‧排氣埠
128‧‧‧匹配電路
131‧‧‧管道
133‧‧‧沈積氣體運送管
135‧‧‧隔離閥
136‧‧‧第二管道
138‧‧‧緩沖板
140‧‧‧遠程電漿排放元件
142‧‧‧空氣製冷風扇
148‧‧‧中心區域
151‧‧‧清潔氣體運送管
155‧‧‧流導調節閥
170‧‧‧清潔氣體源
175‧‧‧同軸RF電纜
180‧‧‧電漿CVD裝置
208‧‧‧孔
212‧‧‧入口
214‧‧‧直徑
220‧‧‧簇射板孔
302‧‧‧圓形孔加工面積
310‧‧‧簇射板邊界
323‧‧‧螺旋圖案
355‧‧‧內部竪直壁
355‧‧‧內部竪直壁
356‧‧‧抬肩
361‧‧‧凹部
400‧‧‧反應腔室
411‧‧‧表面面積
422‧‧‧晶圓
423‧‧‧表面面積
425‧‧‧CVD裝置
430‧‧‧基座
431‧‧‧抬肩或壁
438‧‧‧腔穴
442‧‧‧管道
450‧‧‧正常沈積電漿
466‧‧‧寄生電漿
480‧‧‧管道
495‧‧‧隔離閥
參考特定實施例的圖式介紹了本案的各種元件、系統以及方法的此等及其它的特徵、樣態以及優點,其中此等特定實施例試圖說明而不是限制此等元件、系統以及方法。圖式包括11個圖。應該理解的是,圖式是為了舉例說明本文討論的實施例的構思的目的並且可不是按比例的。
圖1是根據本申請的一個實施例的電漿CVD裝置的示意圖。
圖2A是繪示板中的孔的形狀的習知簇射板的竪直剖面圖。
圖2B是根據本申請的一個實施例的簇射板的竪直剖面圖。
圖3A是根據本申請的一個實施例的簇射板的頂視圖以及側視圖。
圖3B是根據本申請的一個實施例的簇射板孔的螺旋圖案的頂視圖。
圖4是顯示清潔率及膜厚度均勻度相對於簇射板的孔加工面積的直徑之間的關係的曲線圖。
圖5是本申請的實施例的反應腔室的內部的側視圖。
圖6A是顯示對於使用習知簇射板的一個實驗以及使用本申請的簇射板的三個不同實驗的TEOS及氧氣反應的沈積條件的圖表。
圖6B是比較從如圖6A所示的沈積條件而得到的清潔率以及沈積的膜厚度均勻度的圖表。
圖7A是說明有寄生電漿的習知電漿CVD反應腔室的上部的側視圖。
圖7B是根據本申請的實施例的電漿CVD反應腔室的上部的側視圖。
圖8是在使用具有習知陶瓷管道的習知簇射板、具有習知陶瓷管道的本發明簇射板以及根據本發明的實施例的帶有較長陶瓷管道的本發明簇射板時,顯示基於反應腔室壓力以及較高RF功率的組合在晶圓處理期間有或無寄生電漿產生的曲線圖。
1‧‧‧基板
102‧‧‧反應腔室
105‧‧‧基座
120‧‧‧簇射板
122‧‧‧電源
124‧‧‧電源
125‧‧‧排氣埠
128‧‧‧匹配電路
131‧‧‧管道
133‧‧‧沈積氣體運送管
135‧‧‧隔離閥
136‧‧‧第二管道
138‧‧‧緩沖板
140‧‧‧遠程電漿排放元件
142‧‧‧空氣製冷風扇
148‧‧‧中心區域
151‧‧‧清潔氣體運送管
155‧‧‧流導調節閥
170‧‧‧清潔氣體源
175‧‧‧同軸RF電纜
180‧‧‧電漿CVD裝置

Claims (7)

  1. 一種電漿CVD裝置,包括:電漿CVD反應腔室;用於支撐基板的基座,所述基座設置在所述反應腔室內並且構造成用作第一電極,以產生電漿;簇射板,用作第二電極以產生所述電漿,所述簇射板配置於所述反應腔室的上部之下、面向所述基座並且具有透過所述簇射板而延伸的多個孔,所述孔各具有均勻的橫截面積,其中具有所有所述孔的所述簇射板的最小圓形面積的直徑為可配合在所述基座的限制結構內的最大可能基板的直徑的0.95之1.05倍;一個或多個電源,電性連接到所述簇射板;以及陶瓷管道,穿過所述反應腔室的所述上部且支撐所述簇射板的入口,所述陶瓷管道的長度大於35mm。
  2. 如申請專利範圍第1項所述之電漿CVD裝置,其中限制結構包括用於固持基板的腔穴的環形壁。
  3. 如申請專利範圍第1項所述之電漿CVD裝置,其中所述簇射板具有電性導電延長線,所述電性導電延長線構造成連接到所述一個或多個電源以使所述簇射板用作電極。
  4. 如申請專利範圍第1項所述之電漿CVD裝置,其中所述孔沿所述簇射板的一面形成螺旋圖案。
  5. 如申請專利範圍第1項所述之電漿CVD裝置,其中所述板的所述最小圓形面積具有285mm至310mm之間的直徑。
  6. 如申請專利範圍第1項所述之電漿CVD裝置,其中所述板的所述最小圓形面積具有190mm至210mm之間的直徑。
  7. 如申請專利範圍第1項所述之電漿CVD裝置,其中所述板的所述最小圓形面積具有427.5mm至472.5mm之間的直徑。
TW097144749A 2007-12-18 2008-11-19 電漿cvd裝置 TWI434334B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/959,410 US20090155488A1 (en) 2007-12-18 2007-12-18 Shower plate electrode for plasma cvd reactor

Publications (2)

Publication Number Publication Date
TW200931508A TW200931508A (en) 2009-07-16
TWI434334B true TWI434334B (zh) 2014-04-11

Family

ID=40753631

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097144749A TWI434334B (zh) 2007-12-18 2008-11-19 電漿cvd裝置

Country Status (5)

Country Link
US (1) US20090155488A1 (zh)
JP (1) JP5274229B2 (zh)
KR (1) KR101563727B1 (zh)
CN (1) CN101463473B (zh)
TW (1) TWI434334B (zh)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
JP5494108B2 (ja) * 2010-03-26 2014-05-14 セイコーエプソン株式会社 容量性負荷駆動装置、液体噴射装置および印刷装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8832916B2 (en) * 2011-07-12 2014-09-16 Lam Research Corporation Methods of dechucking and system thereof
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102593260A (zh) * 2012-03-13 2012-07-18 常州比太科技有限公司 用等离子激发形成氮化硅膜的方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI649777B (zh) * 2014-03-31 2019-02-01 日商Spp科技股份有限公司 電漿處理裝置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7191558B2 (ja) * 2018-06-29 2022-12-19 株式会社アルバック 成膜装置および成膜方法、クリーニング方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN114774887A (zh) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 气体传输装置、方法和半导体沉积设备
CN115613009A (zh) * 2022-11-03 2023-01-17 江苏微导纳米科技股份有限公司 原子层沉积设备
CN117947404B (zh) * 2024-03-27 2024-05-28 苏州辉钻纳米新材料有限公司 一种基于pecvd的燃料电池金属极板碳基涂层制备装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836182A (en) * 1970-08-07 1974-09-17 Owens Illinois Inc Pipe coupling system for glass or ceramic pipes and related materials
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
USRE40046E1 (en) * 1997-04-11 2008-02-12 Tokyo Electron Limited Processing system
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6403479B1 (en) * 2000-03-17 2002-06-11 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
JP2001342570A (ja) * 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP4218360B2 (ja) * 2002-04-24 2009-02-04 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP4572100B2 (ja) * 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 プラズマ処理装置
US7618515B2 (en) * 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US20060151002A1 (en) * 2004-12-22 2006-07-13 Devendra Kumar Method of CVD chamber cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7581765B2 (en) * 2005-11-17 2009-09-01 Air Products And Chemicals, Inc. Seal assembly for materials with different coefficients of thermal expansion
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
KR101122347B1 (ko) * 2006-05-31 2012-03-23 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법 및 반도체 장치의 제조 방법
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead

Also Published As

Publication number Publication date
JP5274229B2 (ja) 2013-08-28
JP2009152603A (ja) 2009-07-09
US20090155488A1 (en) 2009-06-18
TW200931508A (en) 2009-07-16
KR101563727B1 (ko) 2015-10-27
KR20090066222A (ko) 2009-06-23
CN101463473A (zh) 2009-06-24
CN101463473B (zh) 2012-07-25

Similar Documents

Publication Publication Date Title
TWI434334B (zh) 電漿cvd裝置
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
TWI689613B (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
US6235112B1 (en) Apparatus and method for forming thin film
JP4352234B2 (ja) リアクタ組立体および処理方法
TWI469238B (zh) 電漿蝕刻處理裝置及電漿蝕刻處理方法
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
US20090269506A1 (en) Method and apparatus for cleaning of a CVD reactor
WO2017192249A1 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
TWI793701B (zh) 包含具有高純度sp3鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件
JP2017532788A (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
TW201526105A (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
JP5551583B2 (ja) 金属系膜の成膜方法および記憶媒体
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
JP3946640B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR20230156172A (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR20160149151A (ko) 플라즈마 처리 방법
KR102007019B1 (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
KR20210057669A (ko) 플라즈마 처리 장치
CN113903654A (zh) 最小化teos氧化物膜沉积期间接缝效应的方法和装置
TWI827877B (zh) 化學氣相沉積設備、泵浦襯套及化學氣相沉積方法
WO2006120843A1 (ja) プラズマクリーニング方法、成膜方法およびプラズマ処理装置
KR20000022193A (ko) 고밀도 플라즈마 화학기상증착 장치 및 그 방법