TWI420617B - 用於電子零組件產業中儲存物件之裝置 - Google Patents

用於電子零組件產業中儲存物件之裝置 Download PDF

Info

Publication number
TWI420617B
TWI420617B TW096126479A TW96126479A TWI420617B TW I420617 B TWI420617 B TW I420617B TW 096126479 A TW096126479 A TW 096126479A TW 96126479 A TW96126479 A TW 96126479A TW I420617 B TWI420617 B TW I420617B
Authority
TW
Taiwan
Prior art keywords
storage
storage device
container
transport container
block
Prior art date
Application number
TW096126479A
Other languages
English (en)
Other versions
TW200832590A (en
Inventor
Jaeger Erich
Original Assignee
Tec Sem Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tec Sem Ag filed Critical Tec Sem Ag
Publication of TW200832590A publication Critical patent/TW200832590A/zh
Application granted granted Critical
Publication of TWI420617B publication Critical patent/TWI420617B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)
  • Packaging Frangible Articles (AREA)

Description

用於電子零組件產業中儲存物件之裝置
本發明係關於一種於產製基板時用以儲放物件之儲存裝置,尤指電子零組件用之基板,例如LCD顯示器之基板,該儲存裝置具一外殼,其至少為儲放物件提供一封閉空間,其中設有一套潔淨空氣設備,可至少於外殼中一部份區域內產生潔淨空氣環境,儲存裝置利用至少一組置入/取出裝置可將物件送入外殼內部或自外殼內部取出,且於外殼內部可利用至少一套操控裝置操控該物件,並於外殼內部設有物件接納處,以利將物件自輸送容器中取出而暫置其中。
工業化生產電子零組件,例如LCD顯示器或其他平面顯示器或各種基板時,必需例行性地將各種物件於工廠內部作輸送及暫存。因此設計一種輸送容器,其可使物件不受外界因素影響可靠地固定並輸送。輸送容器通常使用所謂SMIF-容器及FOUP-容器。另一方面該等物件於工廠內部作輸送處理之前或之後,需如本文前述暫存於一儲存裝置中。
該類儲存裝置一般設有一外殼,其內部再分設一或多個互相分隔之間室。為使物件可被送入該等至少為一間之間室內,及自該等間室內取出,該儲存裝置又設置一可關閉之開啟口。一般而言物件需以機械或人工方式先自輸送容器中取出,再送達間室內。為了於工廠內部作輸送處理,需將物件從儲存裝置內取出,於間室外將該等物件再裝入同樣置於儲存裝置外用於暫時放置之輸送容器中。為了維持潔淨空間之條件,一般將物件與輸送容器分開儲放。此外輸送容器造價昂貴且體積甚大。工廠中使用大量物件時,一般也需大量輸送容器。
一些其他已知解決方案中,基板及其輸送容器先一併送入儲存裝置中,然後才於該儲存裝置內部將基板自各輸送容器中取出。該設計係物件取出後將輸送容器儲放於第一空間內並將物件儲放於第二空間內。該二空間係由垂直向固定於外殼之分隔板分隔。該種解決方案不理想處在於需要相當大之放置面積。另一缺點係潔淨空氣供應量之過大需求,為了供應足夠潔淨空氣給相對大之空間。
因此本發明之任務係提出一種可行性,將生產電子零組件用之物件及輸送該物件之輸送容器以一種方式暫存,而於工廠內部只需最小放置空間。
該任務係根據申請專利範圍第1項前段所述達成,即同一儲存裝置外殼內,設置兩個至少部份疊置之區塊,其中第一區塊中設置一套物件儲放裝置,第二區塊中設置一套輸送容器儲放裝置。本發明適用於電子零組件、半成品等生產過程中各式物件及基板,其於生產工廠廠房內通常置放於輸送容器中輸送。本發明之應用不受限於物件及基板之形狀(圓形、橢圓的四角形、八角形等)及材質。此外本發明亦適用於儲放定置於物件架中之物件。該類應用中,物件最好先與其物件架一起導入物件儲放裝置,並於該處先作儲存。
根據本發明,物件及其用以輸送之輸送容器可於相互分開之儲放條件下,仍可相互靠近儲放於同一外殼內。為此,該物件需先自輸送容器中取出。如此則可避免基板被化學物質汙染,例如輸送容器之塑膠材料逸出分子所產生之汙染。已知尤其當基板較長期儲放於輸送容器中時,該類汙染可能會發生。
此外本發明只需使用與工廠中同時使用之基板數相當之輸送容器數量即可。本發明與已知技術不同處,即輸送容器數量非取決於全部基板總數或需儲存基板之總數。
此處有利的係將接納物件用之物件儲放裝置與暫置輸送容器之輸送容器儲放裝置,完全地實施上下層方式置放之設計。此處特別有利的係將物件儲放裝置設置於輸送容器儲放裝置上方。藉由該設置可達到於輸送容器互相空間上很靠近及該等輸送容器中取出之物件互相空間上很靠近之條件下,只需甚小之設計改變,即可使物件儲放裝置能有利的將潔淨空氣供應自儲存裝置之頂蓋引入。
該二區塊雖然都設置於相同外殼內,但將二者相互分隔開,以利於該二區塊內各自有相互分離及各自獨立之空氣條件。尤其於物件儲放裝置區塊中,需設置成可產生及維持可符合高度潔淨空氣條件之環境。該輸送容器儲放裝置可籍由設置一至少部份及近乎水平之隔板於外殼內部,使其與物件儲放裝置隔離。則該二儲放裝置可穿過隔板共用一驅動源。
此時有利的係儲存裝置內部空間中,將物件及輸送容器各自於接納處設置一操控裝置,並自其中取物。為了能儲放大量物件及輸送容器,同時只需少數操控步驟及短的操控距離,而設計出轉動的圓筒式旋轉載台,負責接納物件及接納輸送容器。一該類配置也可於只花費甚小設計投入下,即達到有需求時藉由減小輸送容器接納量,將物件接納量增大,反向操作亦同。此外也可以擴增接納量之方式提昇產能,例如加裝物件接納處至圓筒式旋轉載台最上層。
要將物件及輸送容器取出及置入各接納處可利用外殼內部所設置之操控裝置完成。此處有利的係設置一組操控裝置專門 提供操控輸送容器使用,及另外設置一組操控裝置專門提供操控物件使用。為了實施該等不同操控裝置之抓取器間特定相對運動與取物運動,可於接納處設置至少一運動軸心作為轉心,例如以一垂直Z軸作為轉心作迴轉運動。此處有利之設計係,該操控裝置可至少於Z軸方向上及Y軸方向上作移動運動,以使抓取器可移動至恰當取物高度,並作出去取物及將物件取走之動作。
儲放裝置內部也可有利地設計,即一物件儲放裝置之操控裝置之驅動器,正好設置於物件操控平面下方。物件操控平面可由一定位或由一抓取器之運動所定義之平面來定義,該物件操控平面即為抓取器抓取物件之工作平面。該種設計方式之優點係整個物件儲放區延伸至外殼頂蓋之下方,並全部可由操控裝置觸控得到。因為操控裝置向下位移之最低點即設計於從輸送容器中取出物件之高度上,因此向下到底面之間之高度內仍有足夠空間以設置全套驅動機構。
另一方面,輸送容器儲放裝置之操控裝置驅動器則設置於操作平面或操作點上方,其由輸送容器操控裝置抓取器之運動定義。這樣一來輸送容器之全部儲放區,從外殼頂蓋至外殼底板或至儲存裝置地面,皆可被有效利用。因為該種有利之實施形式中,不需令輸送容器儲放裝置之操控裝置於Z軸方向一直位移到外殼頂蓋位置,因此物件於操作平面上方尚有充分空間設置驅動機構。將儲存裝置根據本發明該種實施例設計之結構,可達到將該儲存裝置之全高充分利用作為儲存位置。該儲存裝置之儲存容量因此得以提昇。
在一種本發明有利實施例中,該二旋轉裝置共用同一驅動源。本發明第一種有利實施例中,可共用一驅動源,同時驅動 二旋轉裝置。本發明第二種有利實施例中,二旋轉裝置可各自獨立運動,並於需要時可各自作不同旋轉方向之運動。
本發明另一項有利實施例中,物件接納區域及置放輸送容器之區域,共用一潔淨空氣裝置,即潔淨空氣先後流過該二區域。該潔淨空氣裝置尤其可設計成應用導氣管設施方式,使潔淨空氣先後流過該二區塊。另一種可行方法則將潔淨空氣產生二支流,其各自流入各別之區域中。本發明中所指之「潔淨空氣」並不限於各種與週遭空氣相當之氣體。本發明中所指之「空氣」代表任何單純氣體或多種氣體之組合氣體,其可用於儲存裝置中產生及維持不同程度潔淨室條件。空氣尤指純氮氣或含有高比例純氮氣之任何單純氣體。
利用本發明設置方法可於物件及暫時儲放之輸送容器環境中產生符合潔淨室條件之環境。此處尤其有利的係令相同之潔淨空氣流先導入物件區域,之後才導入輸送容器區域中。
若於儲存裝置中也設置檢測儀器,如粒子檢測儀、薄膜厚度量測儀及/或其他精密量測儀器組件,以利能於儲放之前或儲放後對該物件實施檢測,便可大幅節省工廠內之設施及運輸業務量。此處所有操控程序均於外殼內發生而不必於有複雜機臺組件之工廠內操控,因工廠內需要主控電腦作控制,且要與其他各機臺組件相協調配合才可施行。
本發明更多有利之實施例述明於申請專利範圍、實施方式及圖式中。
1‧‧‧儲存裝置
2‧‧‧外殼
3‧‧‧置入/取出裝置
3a‧‧‧上方置入/取出裝置
3b‧‧‧下方置入/取出裝置
4‧‧‧輸送容器開啟口裝置
5‧‧‧輸送容器操控裝置
6‧‧‧物件操控裝置
7‧‧‧物件儲放裝置
8‧‧‧輸送容器儲放裝置
9‧‧‧識別標誌讀入及扶正處理裝置
10‧‧‧顆粒感測裝置
11‧‧‧操控管理區
14‧‧‧側板
15‧‧‧側板
16‧‧‧側板
17‧‧‧側板
18‧‧‧頂蓋
19‧‧‧底板
20‧‧‧開啟口
21‧‧‧開啟口
22‧‧‧輸送容器
24‧‧‧緩衝區
25‧‧‧輸送容器操控裝置之折臂機器手臂
26‧‧‧抓取器
27‧‧‧物件操控裝置之折臂機器手臂
28‧‧‧基板夾具
29‧‧‧基板
31‧‧‧抓取器
32‧‧‧照相機
33‧‧‧扶正裝置
34‧‧‧下部區塊
35‧‧‧上部區塊
37‧‧‧水平分隔板
38‧‧‧下方圓筒式旋轉載台
39‧‧‧上方圓筒式旋轉載台
40‧‧‧垂直向旋轉軸
41‧‧‧電動驅動機構
42‧‧‧接納處
43‧‧‧傳動皮帶盤
44‧‧‧風扇濾網單元
46‧‧‧架子
47‧‧‧儲存模組
48‧‧‧儲存元件
48a‧‧‧儲放區
48b‧‧‧基架區
49‧‧‧支架
50‧‧‧定位元件
51‧‧‧內定位平面
52‧‧‧外定位平面
53‧‧‧管道
55‧‧‧模組開啟器
55a‧‧‧叉具
56‧‧‧底板元件
57‧‧‧頂蓋元件
60‧‧‧間室
61‧‧‧接納處
62‧‧‧門
本發明之實施例利用圖式近一步說明,各圖所示為:圖一 本發明儲存裝置之透視圖;圖二 圖一之儲存裝置之俯視圖; 圖三 圖一之儲存裝置打開後之側視圖;圖四 儲存裝置內部裝設物件操控裝置時之部份透視圖;圖五 儲存裝置之另一部份透視圖;圖六 儲存元件中裝置基板夾具時之部份透視圖;圖七 圖六之儲存元件組成之儲存模組之剖視透視圖;圖八 儲存模組之側視圖;圖九 開啟之儲存模組之側視圖;圖十至圖十六 模組開啟器及物件操控裝置自儲存模組中取出一基板夾具時之操作步驟透視示意圖;圖十七 另一本發明物件儲放裝置實施例之部份透視圖;
圖一至圖十六顯示本發明儲存裝置1第一項實施例,該儲存裝置係用於產製電子零組件時,例如生產LCD顯示器之玻璃基板時,作物件及其輸送容器儲放之用,以利其於產製電子零組件之廠房內部作輸送。該類輸送容器一般為封閉式。該種輸送容器最廣泛使用之形式不外乎遵照SMIF標準或遵照FOUP標準(SMIF=Standard Mechanical Interface,標準機械界面;FOUP=Front Opening Unified Pod,前開式組合容器)製成。SMIF輸送容器設有一蓋子及一可用底蓋封閉之底板。相反的FOUP容器中只在其前端設有一開啟口,其以蓋子封閉。儲存 裝置一般只設計用來接納及儲放輸送容器,供一件或多件儲存物件之用,例如LCD顯示器之基板或其它種類基板。
這種儲存裝置之組件包含外殼2、一組置入及取出裝置3、一輸送容器開啟口裝置4(圖四)、一輸送容器操控裝置5(圖二)、一物件操控裝置6、一物件儲放裝置7、一輸送容器儲放裝置8、一識別標誌讀入及扶正處理裝置9及選配之檢測儀器如顆粒感測裝置10(圖四)。所有組件皆設置於外殼2之內部。
外殼2以例如鈑金製成之側板14、15、16、17及其頂蓋與底板18、19構成一封閉空間。該外殼係設計成前方及後方皆可開啟以便維修。相反的該外殼2立於中間之兩片側板15、17則不能開啟,儲存裝置1可以其側板靠置於其他工廠設施上或直接靠置於廠房之壁上。這樣一來本發明有利實施例之儲存裝置1便可一方面具極大之儲存量,另一方面可並列成排,於維修時或緊急處理時仍可快速掌握該儲存裝置中每一組件。
外殼之前方面板上,設置兩個可封閉下方置入及取出裝置3b之開啟口20、21,以利物件通過該等開啟口被置入或取出。緊接此開啟口之上方設有二上方置入及取出裝置3a,其用於自動化地將輸送容器22送入外殼2內部,也可將輸送容器22由外殼2內部取出。該二上方置入及取出裝置3a係用以自動化地將自工廠,例如自一上方輸送器中送到之輸送容器22取下,或將儲存裝置1之輸送容器22取出再置放於上方輸送器中。緊鄰該二開啟口下方設有二「手動」置入及取出裝置3b,輸送容器22於此處手動地被置入定位,以利其能於容器下降時自動送入外殼2內部。儲存裝置1中輸送容器22也可自外殼2內部送出到手動置入及取出裝置上。該種置入及取出裝置3a及3b係例如申請人瑞士商悌格-森姆股份有限公司Tec-Sem AG發表之產 品「Test Wafer Stocker TWS300,測試晶圓儲放裝置TWS300」、或產品「Practor 300 mit Foup Buffer,設有Foup緩衝區之Practor 300」中已知之技術。
一輸送容器22通過一置入及取出裝置3a、3b後,便進入完全設置於外殼2內部之輸送容器開啟口裝置4中,其構造基本上與歐洲專利案EP 0 875 921 A1中SMIF輸送容器之設計描述相同。該專利案之內容係本發明之參考文獻。原則上本發明也可使用任何其他種輸送容器開啟口裝置4,只要其具有開啟及封閉輸送盒之功能。
該輸送容器例如藉由一旋轉裝置,其最有利係設置於一托盤下方,該托盤上放置該輸送容器,旋轉裝置推動托盤繞轉軸轉向輸送容器操控裝置,抵達輸送容器開啟口裝置4。該輸送容器操控裝置5可將恰當定位輸送容器於該方向上抓起然後送入系統中。輸送容器上方壁上設有一標準化處理機架,該輸送容器靠該標準化處理機架而可被輸送容器操控裝置5抓取。
該輸送容器開啟口裝置4係用以自動化打開及關閉儲存裝置內之輸送容器22。在本發明此項實施例因此設計成,該等輸送容器連同其內容物一併先送入儲存裝置1內部,然後於儲存裝置1內部打開。
尤其如圖三所示,緊鄰輸送容器開啟口裝置4上方設有一緩衝區24以容納相對少數個的輸送容器22。本實施例中該緩衝區24可容十個輸送容器22,最好為空的,用以特別快速的將一或多個物件送入一輸送容器中,並將其自儲存裝置中送出。藉由緩衝區24,一旦有對一特定物件之需求時,便立即有一輸送容器可待命接納該物件,並準備將其後續送出至儲存裝置外部。如此則可節省把一輸送容器22自該輸送容器儲放裝置8處 取出再送往輸送容器開啟口裝置4之轉置時間,輸送容器儲放裝置8將於稍後另作詳述。同樣也可使輸送容器22於緩衝區24中就被裝填主系統要求之物件,如基板等。當送出之指令一到,該輸送容器22便可立即自庫存中或自儲存裝置中無耽擱地被送出。一個類似的優點亦可藉由將一已預載若干基板之輸送容器放置於輸送容器儲放區待命達成。
尤其從圖二可看出,儲存裝置前壁及側板角落區塊內設置了輸送容器操控裝置5,輸送容器藉其可由輸送容器開啟口裝置4被轉置到輸送容器儲放裝置,同樣也可反向自輸送容器儲放裝置處被轉置到輸送容器開啟口裝置4。該輸送容器操控裝置5於本實施例中設計成折臂機器手臂25,其可沿著一Z軸(垂直於圖二圖面方向上)作滑動移動。該折臂機器手臂25於X-Y平面上(平行於圖二之平面)運動。為了操控輸送容器,折臂上固裝一被動之抓取器26,該折臂機器手臂藉其可抓住各輸送容器22上方設置之處理機架,本實施例中該輸送容器22係應用SMIF容器之設計。
與儲存裝置前壁角落區塊相對應之輸送容器開啟口裝置4之另一邊角落區塊內設置了物件操控裝置6。該物件操控裝置6與輸送容器操控裝置5基本上以相同原理設計,即於X-Y平面上可沿Z軸作滑動移動之折臂機器手臂27。該物件操控裝置之折臂機器手臂27係用來輸送及操控安置於基板夾具28之基板29(參見如圖六)使其自輸送容器開啟口裝置4被轉置至物件儲放裝置7處,及反向地自物件儲放裝置7處被轉置輸送容器開啟口裝置4。有利的係於該折臂機器手臂27上設置一被動雙臂抓取器31以利抓取單個基板夾具28,該被動雙臂抓取器31可通過一開啟口30伸入輸送容器開啟口裝置4內部,而能於該 處抓取一基板夾具,或將一基板夾具28於該處轉置入該裝置中。本發明此實施例中也可使用其它種類操控裝置,例如應用有直線性運動軸之機器人。
抓取一基板夾具28時,先令叉具形狀之抓取器31伸入一基板夾具28下方,該基板夾具28例如仍正放置於輸送容器22中,藉由抓取器31昇起將基板夾具及其內部放置之數個基板一起抓住,再籍由機器手臂對三旋轉軸作運動達成運輸效果。
緊鄰輸送容器開啟口裝置4及靠近物件操控裝置6位置之外殼2內部,於外殼2上設置之操控管理區11(圖一、圖四)下方,設置了一識別標誌讀入及扶正處理裝置9。利用該識別標誌讀入及扶正處理裝置9可自動讀取各基板29或各基板夾具28上之條碼及作出辨識。識別標誌讀入及扶正處理裝置中可設置一本案不作進一步描述之照像機,及一恰當的處理軟體以處理該照像機所擷取之訊號。該類將條碼中所含訊息用光學識別系統讀出之技術係屬先前技術範圍。利用此識別標誌讀入及扶正處理裝置9可使物件作旋轉運動過程中恰當的將該物件上之條碼或其他識別物曝露於此識別標誌讀入及扶正處理裝置9中之照像機32前被讀取。如果當讀取程序於物件第一次某一側面上未能讀到條碼,應該於轉動90°、180°或270°後位置上就可讀到條碼。籍由該裝置9可使置於其上之物件於該裝置9繞一垂直向之Z軸旋轉而引導到正確一面。
尤其如圖五所示,外殼內部設有二相互隔離之區塊34、35,其可各自生成滿足不同之潔淨室條件。其係一設有輸送容器儲放裝置8及輸送容器操控裝置5之下部區塊34,及設有物件儲放裝置7及設置儲存裝置中所有其他組件之上部區塊35,該等組件正好直接接觸物件,例如識別標誌讀取站32及物件操 控裝置6。在上部區塊35中需生成滿足最高等級之大氣環境,如標準14644-1「Classification of Air Cleanliness,潔淨空氣分級」ISO(國際標準組織):1所要求之條件。該下部區塊34只需生成滿足較次等級之潔淨室條件空氣環境,因該區塊中沒有物件進入,亦不會如上部區塊有開啟而裸置其間之物件。該二區塊係由一水平分隔板37作相互間之分隔。
該輸送容器儲放裝置8及物件儲放裝置7皆以至少大致接近圓筒式旋轉載台38、39(圖五)方式設計,皆可圍繞一共同之垂直向旋轉軸40做旋轉。圖五所示之第一實施例中,圓筒式旋轉載台38、39各自設有驅動裝置而可各自獨立作旋轉運動。二儲放裝置7、8也可同時於不同迥轉方向上圍繞轉軸40轉動。在另一實施例中也可只使用一共用之驅動裝置,利用該共用驅動裝置驅動該二儲放裝置7、8作相同之旋轉。各自獨立之驅動也可只用一驅動裝置達成,例如可利用一組開關電路及/或一離合器選擇性的驅動其中一組儲存裝置。
本實施例中只描述下方圓筒式旋轉載台38之電動驅動機構41,該電動驅動機構41係設置於外殼2之底板區域,其上設有一此處不多作詳述之皮帶傳動用傳動盤。一繞於傳動皮帶盤上之無端點傳動皮帶同時也繞行另一直徑明顯較大之傳動皮帶盤上,該直徑明顯較大之傳動皮帶盤之轉軸即圓筒式旋轉載台38垂直向旋轉軸40。則該電動驅動機構41之驅動運動經減速後再傳到輸送容器儲放裝置8上。為驅動物件儲放裝置7,可於上部區塊35中設置相同原理之裝置驅動圓筒式旋轉載台39。藉由一旋轉方向轉換電路可使各電動驅動機構驅動該二儲存裝置於不同旋轉方向上旋轉。
輸送容器儲放裝置8外圍周邊平面上設置多個輸送容器22之接納處,該等接納處以水平及環形相鄰方式放置多個相互疊置於圓筒式旋轉載台38之傳動皮帶盤43上。籍由該設置可形成一矩陣形式之輸送容器22之接納處。圖五所示之實施例中例如藉由六傳動皮帶盤43設置六圓環區,而各圓環區上設有十二個輸送容器22接納處,每一接納處可接納一輸送容器22。籍該設置可形成共可接納七十二個輸送容器之接納量。
在上部區塊35中,輸送容器儲放裝置8由一設於外殼2頂蓋18下方之潔淨空氣供應口灌入氮氣或純淨空氣(圖一)。此處籍一風扇濾網單元44產生由上向下通過上方圓筒式旋轉載台39(圖五)中央之定向氣流。由於氣流之方向性及上部區塊35中較高氣體壓力,及不在此處詳細描述之水平分隔板37導流之效應,淨潔空氣可於流經上部區塊35後再流入下部區塊34中。導流效果之產生可例如藉由於分隔板37上做穿孔處理達成。另一種設計則每一接納處設置一獨立之(潔淨-)氣體供應機構,尤其氮氣之供應機構。此處也可從某些特定之輸送容器22已使用中之洗滌氣體接口處作輸送容器22接取之用,則更可對長期存於輸送容器22中之物件提供氮氣環境。
為抓取一特定之接納處42,可藉由電動驅動機構41驅動放置該接納處42之輸送容器儲放裝置8,使其於一旋轉方向上定位以利抓取。同樣也將輸送容器操控裝置5於Z軸方向上驅動到一相當於待抓取之接納處42於Z軸方向上之高度位置。此X-Y位置上該抓取器將藉由機器人折臂之運動被移動到抓取定位上。有利的係將全部圓環區上或傳動皮帶圓盤43上之接納處設計成可被驅動到一相同位置作為抓取定位以利抓取。
於輸送容器儲放裝置8上方設置之物件儲放裝置7中設有多個環形且相互平行上下疊置之架子46,該等架子總數可變。每一架子46皆設置相同數量之儲存模組47,該儲存模組47稍後有進一步說明,此外該儲存模組47中又各自設有十組互相疊置之儲存元件48。如圖六及其他圖式所示,本實施例中,儲存元件48設計成圈形聯接之封閉形狀而近似一四角形。此處每一儲存元件48可每次接納及儲放一物件或基板夾具28。
每一儲存元件48中皆設有一儲放區48a及一基架區48b。該儲放區48a係設計成,可使各儲存元件48各自承放於一基架上或承放於一位於該儲存元件48下方之另一儲存元件48上。同時該儲放區48a上部又設計成可承接一疊置其上方之另一儲存元件48。該儲放區48b係設計來接納一儲存物件。該類儲存元件48之基本構造原理及由該類儲存元件48組合成之儲存模組47或儲存塔之基本構造及其操控技術,都已公開於本案申請人之世界專利案WO 2005/006407 A1及瑞士專利案CH 01150/05中。該等文獻之全部內容亦為本發明之參考文獻。
尤其如圖六所示,每一儲存元件之基架區48b內部之二相對之邊部上各設有一互為平行走向之支架49,該等支架條49上方設置一基板夾具28。該支架49可於受到基板夾具重力作用時至少產生一些微小彈性變形。一個以此方式置放於一儲存元件上之基板夾具28之下底便會至少略微高過其所在之儲存元件48下底板,其與儲存元件48一起靠放於下方一儲存元件48上。儲存模組中相互疊置之儲存元件48中,以該方式各自只允許存放一基板夾具28。此外每一儲存元件48上相對之兩面上還各自設置一互為平行之側邊定位元件50。每一定位元件50由上往下 看時皆設計成傾斜向外伸展。各定位元件50上設有一內面及一外面大致平滑之二定位平面51、52。
將儲存元件48以垂直方向延伸疊置成儲存塔形之儲存模組47時,可將各上層儲存元件48之二內定位平面51架靠於其下層儲存元件48之二外定位平面52上。要將數個相同種類之儲存元件48以垂直方向延伸疊置成儲存塔形之儲存模組47時,該儲存元件48上之二錐式設計定位元件50可使各儲存元件自動對心。
尤其如圖八及圖九所示,儲存元件48於每個儲存模組47之一邊上形成一管道53,藉該管道53可將潔淨空氣(過濾過之空氣或氮氣)由下方通過儲存模組47引導至其上方。一不作詳述之旋轉式淨潔空氣通導機制,係藉由於圓筒式旋轉載台39下方或上方設置一此處亦不作詳述之壓力空氣管於物件儲放裝置7之圓筒式旋轉載台上達成。其內部潔淨空氣供應係先分送到通往各儲存模組之壓力空氣管路,該等壓力空氣管路係於儲存模組最下方通到一儲存元件。籍由管道53上沒有示出的通往基板之開啟口,會形成一垂直方向之粒子柵欄。相互疊置之儲存元件48,於各相鄰之儲存元件之間設置狹縫空間,使管道53中正壓力下之氣可於大置水平之方向流動通過基板夾具28及基板29。其有助於基板儲存期間,使其儲存之物件可受潔淨空氣或氮氣沖洗以防止受污染或洗淨其污染。
為了抓取一儲放於儲存模組47之基板夾具28,儲放該基板夾具28之儲存模組47可藉由圓筒式旋轉載台39之電動驅動機構41驅動該圓筒式旋轉載台39,使其進入物件操控裝置6之抓取定位點。大致上相同時間內折臂機器手臂27之抓取器及一同樣為叉具形狀之模組開啟器55(圖十到圖十六)也會被移動到 Z軸方向上可抓取基板夾具28之高度上,以及X-Y平面上剛好面對該儲存模組47之定位上。該模組開啟器55可於Z及X方向上作直線性位移。最有利的係使該模組開啟器55及物件操控裝置於Z方向上之運動共用一驅動裝置,則可大幅降低儲存裝置結構,尤其機器成本。
從這裡開始該模組開啟器55及其二叉具從二側向之定位元件50中間伸入儲存模組內並舉起該儲存元件,該儲存元件此時正處於待取出之基板夾具28近處(圖十至圖十三)。當然該動作會將所有其上方之儲存元件48一併舉起。最後叉具形狀之抓取器31將各基板夾具28夾持於其叉具中,將其自其儲存元件48中舉起並將基板夾具28自其儲存模組中取出(圖十五、圖十六)。該模組開啟器55此時便可再度下降,將儲存模組47關閉(圖十六)。利用相同原理,只將各物件夾具之運動方向反轉,即可將該物件夾具輸送入一特定之儲存模組47之特定儲存元件中。
藉由物件操控裝置6也可將一基板夾具輸送到顆粒感測裝置10(圖四)位置,並置入顆粒感測裝置10中,並於檢測完畢後將該基板夾具自顆粒感測裝置10中取出。該類顆粒感測裝置可以例如為根據世界專利案WO 02/01292 A1所述之顆粒感測裝置。該世界專利案WO 02/01292 A1之內容亦為本發明參考文獻。如果不使用上述顆粒感測裝置在維持本發明精神下,也可使用任一型其他種類之顆粒感測裝置,只要該顆粒感測裝置能被整合到儲存裝置外殼之內即可。
該儲存裝置中同時也設有一控制裝置,該控制裝置之計算機及其控制軟體可控制該儲存裝置中各組件,使其相互配合作動,可以儲存各組件或其他感測元件取得之數據,且能於需要 時將此數據提供其他組件使用。此外該控制裝置能與一上階位之廠房控制裝置連線。該控制裝置之操控管理區11可見於圖一。
本發明數個有利之實施例中,有的於該儲存模組47中設置底板元件及項蓋元件56、57(圖七),則該儲存模組47可同時成為一獨立儲存器總成及輸送容器總成,該物件便可藉其於一平常狀態之廠房中作輸送。該系統尤其開啟一種可能性,即有緊急狀況時可取出各基板或於儲放區內部需要作維修工作時也可取出各基板。當有機械故障狀況發生需用到基板時,可簡單地直接將該基板取出。此時可將設計成有門功能之後方側板打開,再以手動作動一離合器便可中斷圓筒式旋轉載台39驅動源。將該圓筒式旋轉載台39以手動方式將其轉動到恰當位置,此時便可將需用之基板整個自儲存模組47取出。
該儲存模組可以,並且應該,於封開狀態下於一般廠房中做運送,待其送到潔淨工作環境中才打開,再將該基板以手動方式轉置入一輸送容器中。該基板係全程無漏縫處於一無缺失之潔淨室環境中。
圖十七中顯示本發明另一實施例。為避免重複敘述,以下將只敘述與圖一至圖十六所示之本發明另一實施例較大不同處。此處原則上對相同各組件也仍維持使用相同元件符號。
圖十七中所示之實施例與第一實施例主要不同在於其具一不同設計之物件儲放裝置7。圖十七係以剖視方式顯示該物件儲放裝置7可能之另一種實施方式,該實施方式中由許多盒子形狀之間室60組成許多環形圈,而各盒子形狀之間室60中設置多個接納處61,該等接納處61各自以水平方式接納基板29或各自接納一基板夾具28。每一間室60各設有一可側向開閉之氣 密性門62以達成封閉。每一間室60籍由一此處沒有示出之氮氣接頭全程受氣體沖洗。當一基板夾具28被取出或被置入時,氮氣濃度會暫時降低到週圍空氣水準,當氣密性之門62關閉後,氮氣濃度會立即再回升。為了開閉該等門,可應用例如一氣動裝置,該等氣動裝置之氣壓缸係接受控制裝置指令作動。
7‧‧‧物件儲放裝置
8‧‧‧輸送容器儲放裝置
27‧‧‧物件操控裝置之折臂機器手臂
34‧‧‧下部區塊
35‧‧‧上部區塊
37‧‧‧水平分隔板
38‧‧‧下方圓筒式旋轉載台
39‧‧‧上方圓筒式旋轉載台
40‧‧‧垂直向旋轉軸
43‧‧‧傳動皮帶盤
46‧‧‧架子
47‧‧‧儲存模組
49‧‧‧支架

Claims (16)

  1. 一種產製基板時用以儲放物件之儲存裝置,尤指電子零組件用之基板,該儲存裝置具一外殼,其至少為儲放物件提供一封閉空間,該儲存裝置又設有一潔淨空氣設備,其可至少於外殼內一部份區域產生潔淨空氣環境,該儲存裝置具至少一置入/取出裝置,可將物件送入儲存裝置外殼內部,或將物件自外殼內部取出,該儲存裝置設有一操控裝置,可於外殼內對物件作操控,儲存裝置外殼內部設有物件接納處,可將物件自輸送容器中取出而暫置其中,其特徵為,在同一儲存裝置外殼內,設置二至少部份疊置之區塊,第一區塊中置放物件儲放裝置,而第二區塊中置放輸送容器儲放裝置,及物件儲放裝置之區塊及輸送容器儲放裝置之第二區塊,係垂直上下層方式設置。
  2. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,物件儲放裝置之區塊係設置於輸送容器儲放裝置之第二區塊上方。
  3. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,該上下層方式設置之物件儲放裝置及輸送容器儲放裝置係設計成圓筒式旋轉載台方式配置。
  4. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,藉由特定機構產生物件儲放裝置與輸送容器儲放裝置間之相對運動,另一方面產生操控裝置間之相對運動。
  5. 根據申請專利範圍第4項所述之儲存裝置,其特徵為,該物件儲放裝置與輸送容器儲放裝置皆設有機構使其能對垂直設置之轉軸作旋轉運動。
  6. 根據申請專利範圍第5項所述之儲存裝置,其特徵為,該二儲放裝置可共同對轉軸作旋轉運動。
  7. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,該二區塊係以一分隔板相互隔離,且二區塊中可各自產生滿足不同空氣潔淨度條件之環境。
  8. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,物件儲放裝置之區塊及輸送容器儲放裝置之區塊共用一潔淨空氣供應源,潔淨空氣先後流過該二區塊。
  9. 根據申請專利範圍第8項所述之儲存裝置,其特徵為,至少一部份之潔淨空氣流中至少一部份係由外部向內部流過旋轉載台形式之物件儲放裝置。
  10. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,外殼中設有輸送容器開啟口裝置,最好於該開啟口裝置一邊設置輸送容器操控裝置,另一邊設置一物件操控裝置操控正要被儲放之物件。
  11. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,一輸送容器操控裝置及一物件操控裝置各自設有一驅動機構,使其可至少於大致垂直方向上運動,物件操控裝置之驅動機構設於物件操控裝置抓取器下方,而輸送容器操控裝置之驅動機構設於輸送容器操控裝置抓取器上方。
  12. 根據申請專利範圍第1項所述之儲存裝置,其特徵為,該物件儲放裝置中設有多組儲存模組,各儲存模組中又設有多組儲存元件,該等儲存元件各自用來儲放一物件,尤指儲放一基板夾具或一基板,該等儲存元件係上下疊置並相對運動,尤其可各自獨立分離。
  13. 根據申請專利範圍第12項所述之儲存裝置,其特徵為,該儲存模組係以氮氣沖洗,尤指以各儲存模組側面直立槽引入液態氮氣,再將氮氣以至少近乎水平之方向沖洗各儲存元件。
  14. 根據申請專利範圍第1項所述之儲存裝置內所設置之物件儲放模組,其特徵為,該儲放模組中設有數個上下疊置且可相互作相對運動,尤其可各自拆取之儲存元件,各儲存元件設有接納處以接納一物件,及設有一儲放區以聯結到下一架疊於其上之儲存元件。
  15. 根據申請專利範圍第14項所述之物件儲放模組,其特徵為,尤其因該儲放模組內部之下方設有底板元件,及該儲放模組內部之上方設有頂蓋元件,而形成一個封閉空間。
  16. 一種根據申請專利範圍第15項所述之物件儲放模組之用途,用以輸送物件,其應用或使用於儲放及儲存物件之儲存裝置外之基板之產製中,且該周遭環境區域之潔淨室內空氣比物件儲放模組內部之潔淨室內空氣要求較低。
TW096126479A 2006-07-26 2007-07-20 用於電子零組件產業中儲存物件之裝置 TWI420617B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CH12382006 2006-07-26

Publications (2)

Publication Number Publication Date
TW200832590A TW200832590A (en) 2008-08-01
TWI420617B true TWI420617B (zh) 2013-12-21

Family

ID=38121938

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096126479A TWI420617B (zh) 2006-07-26 2007-07-20 用於電子零組件產業中儲存物件之裝置

Country Status (5)

Country Link
US (1) US9230838B2 (zh)
EP (1) EP2050132B1 (zh)
JP (1) JP5331687B2 (zh)
TW (1) TWI420617B (zh)
WO (1) WO2008011741A2 (zh)

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5913572B2 (ja) * 2012-04-16 2016-04-27 ローツェ株式会社 収納容器、収納容器のシャッター開閉ユニット、及びこれらを用いたウエハストッカー
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2015001907A1 (ja) * 2013-07-03 2015-01-08 村田機械株式会社 搬送システム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) * 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP6895133B2 (ja) * 2017-11-27 2021-06-30 村田機械株式会社 保管装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7037352B2 (ja) * 2017-12-26 2022-03-16 川崎重工業株式会社 移送システム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020014608A1 (en) 2018-07-12 2020-01-16 Walmart Apollo, Llc Automated storage retrieval system connection and communication protocol
WO2020014615A1 (en) 2018-07-12 2020-01-16 Walmart Apollo, Llc System and method for product recognition and assignment at an automated storage and retrieval device
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN109353733B (zh) * 2018-10-15 2024-03-12 潘秀兰 转盘式物品架
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11610797B2 (en) 2018-11-28 2023-03-21 Sinfonia Technology Co., Ltd. Wafer stocker
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7093318B2 (ja) * 2019-02-18 2022-06-29 台湾大福高科技設備股▲分▼有限公司 物品保管設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
DE102020109392A1 (de) * 2020-04-03 2021-10-07 Winkler und Dünnebier Süßwarenmaschinen GmbH Kühlspeicher
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN113734673B (zh) * 2020-05-29 2023-04-21 盟立自动化股份有限公司 仓储设备及仓储***
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114030804B (zh) * 2021-11-17 2022-09-23 安徽农业大学 一种用于物流管理中的可快速抽调货物储存装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407181A (en) * 1992-11-26 1995-04-18 Tokyo Electron Kabushiki Kaisha Vertical heat treating device

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62172127A (ja) * 1986-01-25 1987-07-29 Teru Saamuko Kk 清浄保管装置
JPH0671921B2 (ja) * 1986-11-20 1994-09-14 清水建設株式会社 クリ−ンル−ム用ストツカ−
KR930002562B1 (ko) * 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 클린룸내에서 사용되는 방진저장 캐비넷장치
JPH03188646A (ja) * 1989-12-18 1991-08-16 Dan Sangyo Kk クリーン・ストッカ
JPH11307610A (ja) * 1998-04-22 1999-11-05 Nikon Corp 基板搬送装置及び露光装置
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6848876B2 (en) * 2001-01-12 2005-02-01 Asyst Technologies, Inc. Workpiece sorter operating with modular bare workpiece stockers and/or closed container stockers
JP2003007800A (ja) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP3832294B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷保管設備
JP2004303835A (ja) * 2003-03-28 2004-10-28 Fasl Japan 株式会社 基板保管装置
JPWO2004088741A1 (ja) * 2003-03-28 2006-07-06 平田機工株式会社 基板搬送システム
JP4751827B2 (ja) * 2003-07-11 2011-08-17 テック・セム アーゲー サブストレートを貯蔵又は輸送するための機器及びそれを用いた方法
JP2006108348A (ja) * 2004-10-05 2006-04-20 Hitachi Kokusai Electric Inc 基板処理装置
TWI399823B (zh) * 2005-07-09 2013-06-21 Tec Sem Ag 用以存放基板之裝置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407181A (en) * 1992-11-26 1995-04-18 Tokyo Electron Kabushiki Kaisha Vertical heat treating device

Also Published As

Publication number Publication date
US20120027547A1 (en) 2012-02-02
TW200832590A (en) 2008-08-01
EP2050132B1 (de) 2021-03-10
US9230838B2 (en) 2016-01-05
EP2050132A2 (de) 2009-04-22
JP5331687B2 (ja) 2013-10-30
JP2009545141A (ja) 2009-12-17
WO2008011741A3 (de) 2008-07-17
WO2008011741A2 (de) 2008-01-31

Similar Documents

Publication Publication Date Title
TWI420617B (zh) 用於電子零組件產業中儲存物件之裝置
CN103620758B (zh) 半导体储料器***和方法
TW537998B (en) System for transporting substrates
CN101558484B (zh) 具有圆形结构的工件储料器
US10403526B2 (en) Substrate storage and processing
JP2618210B2 (ja) 加圧密閉式可搬容器に対応する自動組立/分解装置
US6822413B2 (en) Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JP2009514235A (ja) 水平方向配列ストッカ
CN104221136A (zh) 收纳容器、收纳容器的开闭器开闭单元、及使用它们的晶圆储料器
EP1446828A2 (en) Reduced footprint tool for automated processing of substrates
KR20080012116A (ko) 클린 스토커와 물품의 보관방법
JPH06191639A (ja) 偏平物品の取扱い装置
KR20090064587A (ko) 기판 보관고
KR20090003227A (ko) 웨이퍼용 보관고 및 그 보관 제어 방법
JPH0294647A (ja) ウェーハ処理装置
JP2005089049A (ja) 搬送システム
JP2011159834A (ja) ガス置換装置を備えた基板搬送装置、基板搬送システム、置換方法
JP2004303835A (ja) 基板保管装置
JP5277572B2 (ja) 板状物の保管移送システムおよび板状物の保管移送方法
KR20210054992A (ko) 기판 처리 장치 및 기판 수납 용기 보관 방법
CN111573091A (zh) 物品保管设备
EP2245656B1 (en) Automatic handling buffer for bare stocker
JP2008100802A (ja) 基板保管庫
JP3049229B2 (ja) 段積み方法と装置、これによる梱包方法と装置
US20230017221A1 (en) Core module for semiconductor production facility machinery

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees