TWI406105B - 使用含碳硬光罩的雙重曝光圖案化方法 - Google Patents

使用含碳硬光罩的雙重曝光圖案化方法 Download PDF

Info

Publication number
TWI406105B
TWI406105B TW098119955A TW98119955A TWI406105B TW I406105 B TWI406105 B TW I406105B TW 098119955 A TW098119955 A TW 098119955A TW 98119955 A TW98119955 A TW 98119955A TW I406105 B TWI406105 B TW I406105B
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
dimension
exposure
reduced
Prior art date
Application number
TW098119955A
Other languages
English (en)
Other versions
TW201011475A (en
Inventor
Hui W Chen
Chorng-Ping Chang
Yongmei Chen
Huixiong Dai
Jiahua Yu
Susie X Yang
Xumou Xu
Christopher D Bencher
Raymond Hoiman Hung
Michael P Duane
Christopher Siu Wing Ngai
Jen Shu
Kenneth Macwilliams
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201011475A publication Critical patent/TW201011475A/zh
Application granted granted Critical
Publication of TWI406105B publication Critical patent/TWI406105B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

使用含碳硬光罩的雙重曝光圖案化方法
本發明之實施例與電子製造業有關,且更具體而言,是與縮小半節距(half pitch)的圖案化製程有關。
微影技術(lithography)用於積體電路(ICs)製造中。第1A~1C圖說明了傳統半導體微影製程的截面圖。參照第1A圖,於半導體堆疊102上方提供光阻層104,光罩(reticle)或遮罩(mask)106定位於光阻層104上。微影製程包括使光阻層104曝光至具有特定波長(λ)之輻射(hν),如第1A圖中箭號所示。參照第1B圖,接著顯影光阻層104以移除曝光部分的光阻層104,而於半導體堆疊102上方提供圖案化之光阻層108。圖案化光阻層108的每個特徵之寬度表示為特徵寬度「x」,且各特徵之間的間隔「y」,寬度「x」加上間隔「y」即稱為節距「p」。
參照第1C圖,可縮小特徵的關鍵尺寸(CD)或寬度「x」,以於半導體堆疊102上方形成圖案化光阻層110。藉由在第1A圖中所示之蝕刻步驟期間過度曝光光阻層104,或藉由在蝕刻半導體堆疊102之前,先以等向性蝕刻來修整第1B圖中所提供的圖案化光阻層108,即可縮小或「偏移(biased)」關鍵尺寸(CD)。然而,這種特徵CD的縮小方式的代價是在特徵之間具有較大的間隔,如第1C圖中之間隔「y」所示。亦即,必須在圖案化光阻層110中的特徵最小尺寸以及特徵間的間隔之間做出取捨,以當應用特徵偏移時,能使節距固定。
由於藉著偏移圖案來縮小特徵尺寸並不會縮小節距,因此改變IC尺寸規格的關鍵方法便仰賴微影製程的解析度。特定微影製程的解析度限制是由特徵的關鍵尺寸來決定,且如第1B圖所示,關鍵尺寸相當於特徵之間的間隔,亦即x=y,其中x與y皆等於「半節距(half pitch)」。舉例而言,一特定的習知193nm微影系統能提供的最小節距p是130nm,以及65nm之半節距。
一般而言,最小節距是得自瑞利解析度公式(Rayleigh resolution equation),並且是成像系統之數值孔徑(NA)與成像光束之波長(λ)的函數。因此,有一些增進微影技術的方式是以高NA微影做為基礎,例如超NA(hyper NA)浸沒式微影法,其中可藉由將成像光學元件浸入水中而得到約1.3之NA。還有一些增進微影的方式是使用較短的波長,例如極紫外光(EUV)。然而,前述方式因受到實質技術發展和全新工具設計的阻礙而進展緩慢。
因此,在取得應用高折射率或EUV技術的商品化蝕刻系統之前,現階段IC製造領域中就已經需要能夠實現45nm半節距節點與甚至32nm半節距節點的方法。密度敏感性產品生產線(例如快閃記憶體與動態隨機存取記憶體(DRAM))因而採取雙重圖案化微影(DPL)的方式來作為降低基板中圖案之有效半節距的第三種方式;一般而言,DPL技術是使一基板連續圖案化兩次,每一次圖案化操作都是利用不同的遮罩與放寬的半節距來執行。所產生的兩個圖案交錯而組成基板上的特徵,其半節距小於任一個別圖案的半節距。兩個圖案的組合接著被轉移到基板中以定義出基板中的圖案,其半節距小於應用特定微影技術所能實現的半節距,也就是「次最小半節距(sub-minimum half pitch)」。
因為DPL方法與使用的微影技術相對無關聯性,因而可利用現有的193nm微影方法以及下一世代的高NA或EUV微影方法來實行,以提供次最小化半節距。因此,DPL遲早會變成業界中作為延伸每個微影世代之處理能力的常用手段。然而,DPL可能成本過高,特別是因為其生產週期時間會隨著需要使用多次光遮罩、多次光阻塗層以及多次蝕刻來形成單一層中的圖案而增加。DPL也因為需要進行多次遮罩操作而招致重疊不良(overlay penalty)的結果。因此,可降低特徵節距、且不產生這種大量的經常費用之方法是有利的。
本文說明光阻層的多重曝光。在所描述的一實施例中,利用在連續曝光之間執行一覆蓋物偏移(overlay offset)來使單一光罩曝光一次以上,以縮小該光罩的半節距。在具體實施例中,執行這些方法來縮小以65nm世代微影設備所印出的特徵之半節距,使其達到45nm之關鍵尺寸(CD)及節距性能。
在本發明一些實施例中,將半節距縮小的特徵圖案化在含碳遮罩層中,以降低線緣粗糙度(line edge roughness,LER)。當微影工具欲用來投射具有最小CD之特徵的影像時,LER便成為一個重要議題。含碳遮罩層提供機械性穩定的遮罩材料,其能夠繪出具有奈米關鍵尺寸與半節距之特徵的輪廓。在一實施例中,含碳遮罩層是CVD碳層,其可抵擋後續的高溫(例如高於約250℃)處理。
在一實施例中,使用微影設備進行第一次光阻曝光,該微影設備已最佳化,以放寬之半節距來形成縮小的特徵尺寸。由於半節距放寬,因而可實現該微影世代所具有最小CD的第一特徵(例如,第一間隔)。以半節距大於最小半節距的代價來達成最小特徵CD。然後,將一校準偏移(alignment offset)引入該微影設備後,進行同一個光罩的第二次曝光。此第二次曝光印出也具有最小CD的第二特徵(例如,第二間隔)。因為引入校準偏移之故,具有最小CD之第二特徵與第一特徵偏移開來,使得該些放寬半節距的特徵互相交錯(interlace),並且以縮小的半節距來形成最小CD特徵。
一實施例提供一種以一光罩來曝光一基板層上方之光阻以形成在光阻線之間具有第一間隔之第一對光阻線的方法,該第一對光阻線具有相對於該基板層的第一校準(alignment)。該方法的進行是利用微影設備,使光罩與基板層之間的第一校準產生偏移,而具有一第二校準;接著以該光罩使該光阻再次曝光,以第二間隔使該第一對光阻線的至少其中一條線一分為二(bifurcate),而形成一節距縮小且CD亦縮小的雙重圖案,該雙重圖案包含至少兩光阻線與兩間隔。接著將此節距縮小且CD亦縮小的雙重圖案蝕刻至基板層中。在一具體實施例中,間隔(space)的CD為X,且該第一對光阻線中每一條光阻線的CD約為3X。再次曝光該光阻接著形成了一雙重圖案,該雙重圖案是由CD約為X的多條線以及CD約為X的多個間隔所組成。
在又一實施例中,當間隔的CD為X,並且第一對光阻線中每條光阻線的CD大於X但小於3X時,在基板層上覆以底部抗反射塗佈層(BARC)以及位於BARC上方的光阻。以相對於該基板層而言具有第一校準的光罩來曝光該光阻,以形成第一對光阻線,並且在第一對光阻線之間具有第一間隔。該對光阻線中的每一條線都具有第一CD,舉例而言,該第一CD是該間隔之第二CD的兩倍到三倍之間。接著進行該方法,使光罩與基板層之間的第一校準偏移為第二校準,並以該光罩再次曝光該光阻,以第二間隔使該第一對光阻線的其中一條線一分為二,而形成具有至少兩光阻線與兩間隔的一雙重圖案,其中該兩光阻線的每條線都具有第三CD,該第三CD小於該些間隔的第二CD。接著以聚合性電漿蝕刻製程(polymerizing plasma etch process)來蝕刻BARC,以形成至少兩條BARC線與兩個間隔,其中BARC線與間隔大約等於第四CD,該第四CD小於第二CD,但大於第三CD。接著蝕刻基板層,以形成包含至少兩條線與兩個間隔的雙重圖案,其中該些線與間隔的CD大致等於第四CD。
本文參照圖式說明了雙重曝光方法。然而,可無需參照一或多個這些特定細節,或是可結合其他習知方法、材料、裝置來實施特定實施例。在下文中說明了多種特定細節,例如特定材料、尺寸與製程參數等,以提供對本發明之通盤瞭解。在其他例子中,不再詳細敘述習知的半導體製程與製造技術,以避免不必要地混淆本發明。在參照本說明書時,「一實施例」是代表實施例中說明之相關特定特徵、結構、材料或特性係含於本發明之至少一實施例中,因此,本說明書各處之用語「在一實施例中」並不必然代表同一實施例,而是代表「至少一個」。此外,特定的特徵、結構、材料或特性也可以任何適當方式結合於一或多個實施例中。
此處用語「上方」、「下方」、「之間」以及「上」是代表一層與其他層之間的相對位置。因此,舉例而言,一層沉積或配置於另一層的上方或下方表示該層與該另一層直接接觸、或具有一或多層中間層。此外,一層沉積或配置於其他層之間表示該層與該其他層直接接觸或是具有一或多層中間層。相較之下,第一層位於第二層「上」則表示該第一層與該第二層接觸。除此之外,是相對於起始基板進行操作、沉積、修飾與移除薄膜的方式來提供一層與其他層的相對位置,而非以基板的絕對位向來判斷。
第2圖說明一種雙重曝光方法200的流程圖,其使用單一光罩在光阻層與任何數量之中間層(如含碳遮罩層)中形成一雙重圖案,並接著將該圖案轉移至基板層中。第3~11圖說明了具體雙重曝光實施例的截面圖,其中光罩的每一次曝光都形成了CD為X的間隔以及CD為約3X之光阻線,且其交錯穿插(interlaced)而形成具有縮小節距和縮小線CD的雙重圖案。
參照第2圖,首先在步驟210中沉積含碳遮罩層;接著在步驟215中,在含碳遮罩層上沉積一光阻層與任何中間層,例如介電抗反射塗佈層(DARC)或底部抗反射塗佈層(BARC)。在形成該多層式遮罩後,接著圖案化該多層式遮罩。在步驟225中,光罩第一次對準該基板層,利用此第一次校準,於步驟230中第一次曝光該光阻以形成第一對的光阻線,第一對光阻線之間具有一第一間隔。在說明之實施例中,該間隔的CD比該對線中每條線的CD都要小。在步驟230之曝光程序之後,將一校準偏移輸入至微影設備中,以於步驟235中使基板層與光罩之間的校準偏移一已知量。在輸入校準偏移之後,於步驟240中,第二次曝光該光阻,而以一間隔將第一次曝光印於光阻中的至少一光阻線一分為二。接著在步驟245中使經過兩次曝光的光阻顯影。接著在步驟250中,利用光阻層中所形成的雙重圖案來圖案化該含碳遮罩層以及任何其他的非光敏性中間層。於步驟255中蝕刻基板層以具有節距縮小且CD縮小的雙重圖案,而實質完成方法200。至於雙重圖案化方法200的其他說明,則參照其餘圖式進一步說明如下。
參照第3圖,基板層305一般包含了最後需要特徵形成於其中的一膜層,且其提供於支撐基板301上。在一實施例中,支撐基板301是一半導體晶圓,例如,但不限於矽、鍺或皆知的III-V族化合物半導體材料。在另一實施例中,支撐基板301是玻璃,例如用於製造顯示器薄膜電晶體者。在又一實施例中,支撐基板301為石英、藍寶石或其他絕緣材料。基板層305與遮罩層不同處在於,遮罩層是暫時性的膜層,其用來將一微影定義圖案轉移至基板層305中。
基板層305本身可形成犧牲結構,其不會成為所製造之元件的永久特徵;然而在一具體實施例中,蝕刻至基板層305中的這些特徵是永久存在的。在一示範實施例中,基板層305是作為電晶體電極的導體,例如經摻雜之多晶矽或常用金屬(如,鋁、鉭、鈦、鎢、鈷、鎳及其氮化物)。在一具體實施例中,基板層305是以300℃至450℃間的製程來形成厚度介於75nm至120nm之間的經摻雜多晶矽;低於500℃之低溫成長製程較佳,這是因為可降低晶粒尺寸之故,晶粒尺寸會影響線緣粗糙度(LER)。
在一替代實施例中,基板層305是介電質,例如氮化物層、二氧化矽層或習知的低k材料層(即,介電常數(k)低於二氧化矽的材料),例如摻雜碳的氧化物。在又一實施例中,基板層305包括半導體,例如輕摻雜的矽、鍺或其他習知材料。在另一實施例中,基板層更包括由介電質及/或半導體及/或導體材料組成的多重膜層,如該領域中所熟知者。
在所描述的實施例中,第4圖之含碳遮罩層425形成於基板層305上,以作為多層式遮罩的第一層。如本文中所使用者,「含碳(carbonaceous)」是指所含碳原子濃度高於50%的材料。含碳遮罩層425並非光敏感性,而是要以蝕刻方式加以定義,以複製出上方感光層的圖案。含碳遮罩層425的優點在於其對於基板層305具有高選擇性而直接蝕刻含碳遮罩層425。含碳遮罩層425的優點還在於在蝕刻時,其線緣粗糙度(LER)相對於微影定義光阻線的線緣粗糙度而言較低。含碳遮罩層425的優點更在於,可利用與用來移除感光層的相同方法來移除含碳遮罩層425,例如使用含氧化劑及/或還原劑之電漿。因為不需要額外的遮罩移除操作,因此相較於傳統硬遮罩製程而言,其製程複雜度相對降低。
在一實施例中,含碳遮罩層425是CVD碳。CVD碳包括由化學氣相沉積(CVD)所形成的碳,化學氣相沉積可以是熱製程或電漿增強製程(PECVD)。一般而言,CVD碳材料包括鍵結態為sp1、sp2與sp3的碳,其使薄膜性質為熱裂解型碳、石墨型碳與鑽石型碳的混合。因為CVD碳材料含有各種比例之複數種鍵結態,其缺乏長程有序性且因而被稱之為「非晶碳(amorphous carbon)」。非晶碳材料可得自美國加州應用材料公司,其商品名稱為Advanced Patterning FilmTM (APF)。
含碳遮罩層425的形成厚度取決於該材料對於用來圖案化基板層305之製程的材料抗性以及含碳材料的結構完整性(其限制了高寬比)。在一實施例中,CVD碳材料的沉積厚度比特徵尺寸的厚度要大上約5倍,而形成高寬比為5:1。在另一實施例中,CVD碳層厚度與特徵尺寸的比例介於1:1至5:1之間;這種範圍的比例將提供適當的結構完整性,因此圖案化的特徵在後續製程中將不致崩壞。在特徵尺寸介於32nm至47nm(45nm技術節點)間的實施例中,CVD碳層的厚度介於約50nm至約100nm之間。
第2圖之雙重曝光方法200進行第4圖與第5圖中任何抗反射塗佈層(ARC)與光阻的沉積。在一具體實施例中,介電ARC層(DARC)430先沉積在含碳遮罩層425上。DARC層430提昇了含碳遮罩層425與上方膜層之間的黏著性。DARC層430也可作為上方膜層與含碳遮罩層425之間的蝕刻停止層(etch stop)。在一些實施例中,DARC層是無機材料,包括氮化矽、氧化矽或氮氧化矽(SiON),其厚度與DARC材料組成的光學性質以及光微影使用的波長有關。一般而言,DARC層430介於10nm至30nm之間,且在一具體實施例中,使用約20nm之SiON DARC。在另一實施例中,沉積DARC層430係結合沉積CVD碳作為含碳遮罩層425成為單一沉積系統中執行的一製程步驟。在一具體實施例中,DARC層430是利用PECVD以SiH4 前驅物在溫度高於250℃下沉積而成,且該溫度較佳高於300℃但低於500℃,以避免多晶矽基板層305中的晶粒成長。
如第5圖所示,BARC層535塗覆於含碳遮罩層425上方(或DARC層430上),BARC層535通常是以旋塗方式塗覆的有機材料,例如,但不限於聚醯胺(polyamides)與聚碸(polysulfones)。BARC層535降低了感光層圖案化期間的光反射,且也有用於較薄的光阻塗佈層,這是因為BARC層535增加該多層式遮罩的總厚度,以提昇在下方基板層305蝕刻期間的蝕刻抵抗性。一般而言,BARC層535的厚度足以消除(extinguish)曝光所用之光,且一般介於10nm至90nm以上。對於193nm微影之實施例而言,BARC層535的厚度約80nm。
接著,如第6圖所示,塗佈一光阻層640。一般而言,可使用該領域中任何習知的光阻劑配方。在一具體實施例中,可使用用於65nm世代微潁技術的組成來形成光阻層640。可相對於下方薄膜而言所需要的選擇性來形成適當厚度範圍的光阻層640。光阻層640的厚度較佳為最小化,以降低在後續顯影最小化尺寸特徵時出現的機械應力。在設計用來產生最小寬度尺寸介於32nm至47nm間之光阻特徵的具體實施例中,光阻層640形成的厚度低於BARC層535之厚度的兩倍,例如介於100nm至150nm之間。
接著,雙重曝光方法200進行到步驟225與230,分別為第一校準與光阻層640的微影曝光。校準步驟225可以任何傳統方式進行,以使一光罩641對準基板層305或基板301中的一特徵。舉例而言,可執行如該領域習知的全域校準(global align)以及欲步進(stepped)或掃瞄之個別區域的局部校準。在第一校準步驟225之後,接著在步驟230中以傳統微影製程(例如使用193nm波長之製程)第一次圖案化該光阻層640,以定義出由多條光阻線與間隔所組成的第一圖案。
步驟230的曝光印出了在兩線之間具有一間隔的至少第一對光阻線。光阻線的印出寬度大於間隔的印出寬度。在第7A圖所示之具體實施例中,光阻線的印出寬度L1 約為該間隔之印出寬度(S(X))的三倍(3X)以上。當線尺寸越大,間隔的印出寬度S會趨向於指定微影工具的最小絕對值,因此,由於使用較大的光阻線印出寬度L1 ,間隔的CD因而降低。舉例而言,在一具體實施例中,能提供65nm之半節距的65nm世代蝕刻工具可印出之間隔在x方向上的尺寸S係介於約32nm至47nm之間,以及印出之光阻線寬度L1 放寬至約96nm到約140nm之間。
接著,雙重曝光方法200進行至步驟225,即相對於該第一校準加入一校準偏移。一般而言,可使用任何該領域習知方式將校準偏移輸入或提供至微影設備中。舉例而言,校準偏移可輸入至一掃描器控制器,以使光罩、光學路徑、或基板托持器(亦即,托持台)至少其中一者相對於步驟230第一次曝光的校準而言產生位置偏移。如第8A圖所示,光罩641從第7A圖所示之位置偏移了約等於間隔S兩倍寬度(或2X)的量。加入2X的偏移會使光罩641中的開口與步驟230之第一次曝光所印出的光阻線L1 重疊。
由於第7A圖所示之偏移,在步驟240中進行的第二次曝光使光阻層640再次曝光,以形成節距縮小且CD縮小的雙重圖案(a pitch-reduced,CD reduced double pattern)。特定的2x偏移使第一光阻線L1 一分為二,成為兩條光阻線L1 、L2 ,該兩條光阻的總和寬度為2x。假設校準完美,寬度為3x之第一光阻線L1 會分成各自具有寬度X的兩條光阻線L1 、L2 ,提供了1:1的線:間隔節距比。因此,在該具體實施方式中,利用65nm世代微影工具所印出的間隔寬度S介於32nm至47nm之間,雙重曝光方法印出半節距為32nm至47nm的雙重圖案。
在此方式中,以放寬之節距要求操作的微影工具所得到縮小的CD,可與包含具有進行微細校準能力之微影工具的方法結合使用,以產生一雙重曝光圖案,其半節距小於利用傳統單次曝光方法所產生者。因此這種製程的優勢在於可延長微影設備之任何特定世代的使用壽命。舉例而言,65nm世代微影設備的成本比45nm世代設備之成本要低了三倍至四倍,然而也可使用65nm世代微影設備執行光阻雙重曝光方法來產生具有相比擬之半節距的特徵。
一般而言,可根據微影設備的配置設計,而在加入校準偏移之前及/或之後執行全域性(例如晶圓級)及/或局部性(例如區域級)。相似地,第一次曝光步驟230與第二次曝光步驟240可連續執行也作為各自區域之兩次掃瞄,接著在整個基板301之各區域上以成對的方式執行該對掃瞄。或者,在對整個基板310上執行第一次曝光之後,接著對基板301的全部區域進行第二次曝光。在一較佳實施方式中,於步驟225執行單次的全域性校準製程(global alignment),接著對每一個區域進行單次的局部性校準製程,然後進行步驟230與240的第一次與第二次曝光。因此,對兩個曝光步驟進行一次局部性校準,可在步驟235中將校準偏移加入原始校準值。或者,可在第一次曝光步驟230期間,於實質上整個基板301上進行第一次掃瞄;接著在步驟235加入校準偏移,隨後在第二次曝光步驟240期間,於實質整個基板301上進行第二次掃瞄。在這種實施方式中,對各區域進行第一次局部性校準作為第一次掃瞄的一部分,並接著對各區域進行第二次局部性校準以作為第二次掃瞄的一部分,並且在第二次局部性校準之後輸入校準偏移。
在步驟235加入的校準偏移進一步說明於第7B圖與第8B圖中,其分別為第7A圖與第8A圖之截面圖的平面視圖,第7A圖與第8A圖之截面圖係如沿線a-a’所表示者。從第7B圖之平面圖可看出,在所描述的具體實施例中,校準偏移為一維的偏移,例如在x方向上的偏移。箱體式(box-in-box)校準結構顯示,在步驟225校準內箱746,使其位於外箱745中央並且與外箱745的內緣相距距離為2x。如第8B圖所示,約等於2x的校準偏移使內箱846的外緣與外箱745的外緣對齊。如第8A圖與第8B圖所示包含有一維校準偏移的實施例可有利地縮小在一維方向上的節距,而在y方向上的特徵佈局相較於單次曝光製程的特徵佈局並無顯著修改。因此,在一實施例中,利用雙重曝光圖案,可在閘極長度方向上為多晶矽閘極電極提供縮小的節距,而不會使閘極寬度尺寸複雜化。然而,在其他實施例中,可以類似方式,使用曼哈頓式佈局(Manhattan layout,正交線)為光罩提供在x維度(x-dimension,或稱x方向)以及正交之y維度(y-dimension,或稱y方向)上的校準偏移。
利用本文揭露之雙重曝光方法所達成的節距縮小可應用於實質整個區域或僅一部分的區域。在一實施例中,如第7C圖與第8C圖進一步所示,係考量本文之雙重曝光方法來實施光罩佈局,以提供最小人為因素(artifacts)與正確尺寸(correct dimensionality)。如第7C圖所示,特徵係設計於一光罩中,以於第一次曝光步驟230期間印出特徵,例如線跡750,該線CD在x維度與y維度上的長度有所差異。第二次曝光的第二次校準修改(trim)了在偏移方向上的特徵,以提供具有適當尺寸的最終特徵。舉例而言,線跡750包括y維度上的第一寬度W1 與x維度上的第二寬度W2 。當W1 印出之尺寸小於W2 ,在x維度中的校準偏移會造成步驟240中部分區域的節距縮小,而將寬度W2 修剪成大致等於W1 ;其所提供的線跡850在x維度與y維度兩方向中都具有大致均勻一致的寬度。因此,藉由控制線與間隔的CD,可設計出用於二次曝光的佈局,而僅於區域的特定部分中造成節距縮小(線分枝),同時在該區域的其他部分中僅造成CD縮小(修剪光阻線)。
在另一實施例中,在第二次曝光步驟240期間僅曝光該區域中的一部分。在這種實施例中,在步驟230中光阻層640的第一次曝光之後,並且在光阻層640於步驟240再次曝光之前,即切除一部分的光罩。在另一實施例中,在再次曝光步驟240期間所掃瞄的部分區域係限制為小於在曝光步驟230中所掃瞄的部分區域。
此外,雖然所描述之實施例提供在光阻層640中進行單一光罩641的雙重成像,然而,應了解到,第一次曝光步驟230也可使用第一光罩641,而第二次曝光步驟240則使用第二光罩(未示)。使用單一光罩之實施例的優點在於可降低校準要求,第一次曝光步驟230所執行之校準也可用於第二次曝光步驟240。然而,雙重成像可能會使佈局複雜化。曝光第一光罩並接著曝光第二光罩(第二光罩與第一光罩不相同)可提供更大的彈性,例如選擇該區域的哪一部分來進行節距縮減。然而,個別的光罩操作需要在第二次曝光步驟240之前進行校準程序,其與第一次曝光步驟230之前所執行的校準程序不同。熟習該領域之技術人士應了解多次校準會產生重疊不良的結果,而這在使用單一光罩、雙重曝光的實施例中可避免。
回到方法200,接著在步驟245中以任何習知的顯影製程來顯影經兩次曝光之光阻層640,如第9圖所示。在顯影後,接著以蝕刻製程來定義含碳遮罩425與任何的中間層,如第10圖中所示之BARC層535與DARC層430。在以第一與第二曝光步驟實現節距比為1:1的實施例中,以非等向性蝕刻製程來進行含碳遮罩層425、DARC層430與BARC層535的圖案蝕刻,以維持微影步驟中所達成之線與間隔之間的節距比。在特定實施例中,當含碳遮罩層425是由CVD碳薄膜組成時,非等向性電漿蝕刻使用如氧(O2 )與氮,以及其他氮氧化物(nitrogen oxides)與甲烷等氣體,這些蝕刻化學物提供了良好的圖案保真度,並且發現到於CVD碳中產生的側壁,其LER低於遮罩光阻層中之側壁的LER。在一示範實施例中,其中BARC層535是光阻層640的至少一半厚(例如BARC層535約為75nm,而光阻層640約為140nm),而含碳遮罩層425是BARC層535的至少一半厚(例如CVD碳層為約50hm),對含碳遮罩層425進行蝕刻實質上移除了所有的光阻層640。在其他實施例中,用於DARC層430與BARC層535的習知蝕刻製程可與CVD碳蝕刻結合,以於基板層305上方提供節距縮小且CD縮小的雙重圖案。接著可執行傳統的非等向性蝕刻以於基板層305中形成節距縮小且CD縮小的雙重圖案,如第11圖所示。
在一替代實施例中,當1:1之節距比並非使用第一次與第二次曝光步驟達成時,係以具有明顯負或正偏移之蝕刻程序來進行含碳遮罩層425、DARC層430或BARC層535中任一者的圖案蝕刻,以修改利用微影步驟所產生之線與間隔之間的節距比。在部分示範實施例中,在第一曝光步驟230中所印出的光阻線之CD並非是間隔CD的至少三倍,而是介於該間隔之CD的1.5到2.5倍之間。如第12圖所示,線L1 幾乎是間隔S1 的兩倍寬度。接著,以約1.25X的校準偏移產生如第13圖與第14圖所示之雙重曝光圖案,其中間隔(S1 與S2 )的CD大於該些線L1 與L2 的CD。當最小校準偏移比最小可印間隔的倍數要小時,此實施例是可行的。在一實施例中,使用聚合性蝕刻製程(polymerizing etch process)來圖案化BARC層535,以增加含碳遮罩層的線CD,及/或減小含碳層中之間隔的CD(亦即CD縮小)。
在一實施例中,BARC蝕刻製程條件係經選擇,以於光阻層640的側壁上沉積聚合物1575,進而將該微影定義之間隔S2,litho 縮小成為所需的CD(S2,etch )。S2,litho 減去S2,etch 的差值稱為蝕刻偏差(etch bias),因此當S2,etch 小於S2,litho 時,該差值為負數。在一特定實施例中,因為BARC層535相對較薄(一般小於1000),因此在BARC蝕刻中,需使用高聚合性製程條件來達到顯著的蝕刻偏差。基於相同的原因,在BARC蝕刻過程中沉積相對大量的側壁聚合物,而不會於後續蝕刻的下方層中產生明顯的側壁錐化情形(sidewall taper)。此外,在BARC蝕刻中,高寬比仍然相對低,因而緩和了例如蝕刻終止等製程考量,。在一實施例中,在第2圖之BARC蝕刻步驟250所使用的電漿蝕刻製程包括了可產生CF2 類物種的聚合性處理氣體(如CHF3 )以及非聚合性處理氣體(如CF4 )。在使用CHF3 流率為5sccm至50sccm之間的示範實施例中,導入蝕刻腔室中之CF4 的流率足以提供介於0.3:1至1.5:1之間的CF4 :CHF3 比率。BARC蝕刻可另外包含一載氣,其參與側壁聚合物的形成。在一實施例中,載氣是氮氣(N2 ),其相對於聚合性處理氣體的流率為0.3至1.0之間。在一實施例中,BARC蝕刻步驟250係於低製程壓力下執行,以增加蝕刻偏差量。在一實施例中,製程壓力低於25mT。在一特定實施例中,壓力約為10mT。在一實施例中,高頻功率源送出介於200與400瓦(W)之間的已正規化(normalized)功率至蝕刻腔室,該蝕刻腔室具有直徑約為300mm的電極(例如用於300mm半導體晶圓之蝕刻工具)。在另一實施例中,操作頻率介於2至60MHz之間的低頻偏壓功率對電漿提供了100W至400W之額外功率。為了保留從聚合性BARC蝕刻所得之實質全部的CD縮減量,以習知的非晶碳蝕刻製程來蝕刻第15圖之含碳遮罩層425,該非晶碳蝕刻製程包括烷類、烯類或炔類。在這樣的實施例中,蝕刻劑包含了具有至少兩個碳的碳氫化合物,例如C2 H6 。在特定實施例中,當含碳遮罩層425是由CVD碳薄膜所組成時,非等向性電漿蝕刻使用的氣體例如氧氣(O2 )、氮氣(N2 )與其他氮氧化物及CH4
無論是利用上述BARC層蝕刻或藉由任何替代方式來實施蝕刻偏差,藉著該蝕刻偏差,一節距縮小且CD縮小之雙重圖案係接著蝕刻至基板層305內,如第16圖所示。在這樣的實施例中,利用65nm世代微影技術執行本文所述雙重曝光方法,第2圖之步驟250所提供的蝕刻偏差提供了線:間隔比約為1:1、半節距介於32nm至47nm間之雙重圖案。因此,雙重曝光方法幫助形成具有縮小之半節距與縮小之關鍵尺寸的高保真度圖案,並且具有最少的製程費用。
在本發明一實施例中,步驟225、230、235與240中使用的微影成像設備是由電腦控制,以控制光罩與基板的校準(alignment)以及其他製程參數。電腦控制器是任一形式的通用資料處理系統,其可用於工業設定以控制各種子處理器與子控制器。一般而言,電腦控制器包括中央處理單元(CPU),該CPU與記憶體及輸入/輸出(I/O)電路或其他常用組件通信。CPU執行軟體指令會使系統執行一種方法,該方法包括:於一光阻層下方提供一基板層;曝光該光阻層以形成第一對線,該第一對線之間具有第一間隔,且該第一對線相對於該基板層具有一第一校準;偏移該第一校準以具有一第二校準;以及,再次曝光該光阻層,而以第二間隔使該第一對光阻線的其中至少一條線一分為二,以形成節距縮小且關鍵尺寸縮小的雙重圖案,該雙重圖案包括至少兩條光阻線與兩個間隔。
部分的本發明也可以電腦程式產品的形式來提供,其係包括電腦可讀取媒體,其上儲存有指令,當電腦(或其他電子裝置)執行該些指令時,可使該硬體系統執行一種方法,包括:於一光阻層下方提供一基板層;曝光該光阻層以形成第一對線,該第一對線之間具有第一間隔,且該第一對線相對於該基板層具有第一校準;以及偏移該第一校準,以具有一第二校準;再次曝光該光阻層,而以一第二間隔使該第一對光阻線的至少其中一條線一分為二,以形成一節距縮小且關鍵尺寸縮小的雙重圖案,該雙重圖案包括至少兩條光阻線與兩個間隔。該電腦可讀取媒體包括,但不限於,軟碟、光碟、CD-ROMs(壓縮碟唯讀記憶體)與磁光碟、ROMs(唯讀記憶體)、RAMs(隨機存取記憶體)、EPROMs(可拭除可編程唯讀記憶體)、EEPROMs(電子式可拭除可編程唯讀記憶體)磁卡或光學卡、快閃記憶體或其他習知類型且適合儲存電子指令的電腦可讀取媒體。此外,電腦程式產品的形式來下載本發明,其中該程式可經由線路從一遠端電腦傳送至一請求電腦。
本發明雖以特定結構特徵及/或方法動作加以說明,然而,應了解到,後附申請專利範圍中所定義之本發明並不限於所描述的特定特徵或動作。所揭露之具體特徵或動作是用於瞭解本發明之較佳實施方式,而非用於限制本發明。
102...半導體堆疊
104...光阻層
106、641...光罩
108、110...圖案化光阻層
200...方法
210、215、225、230、235、240、245、250、255...步驟
301...基板
305...基板層
425...含碳遮罩層
430...DARC層
535...BARC層
640...光阻層
745...外箱
746、846...內箱
750、850...線跡
1575...聚合物
如附圖示範了本發明的數個實施例,其僅用於示例說明,而非限制之用;其中
第1A~1C圖說明一種偏移一特徵以縮小關鍵尺寸的習知方法。
第2圖說明根據本發明一具體實施例之雙重曝光方法的流程圖,用於在基板層中蝕刻出雙重圖案。
第3、4、5、6、7A、8A、9、10與11圖說明根據本發明實施例,一種用於在基板層中蝕刻雙重圖案之雙重曝光方法的代表性操作步驟的工件截面圖。
第7B、7C與8B、8C圖是根據本發明實施例,分別說明在第7A與8A圖之操作步驟期間的工件平面圖。
第12、13、14、15與16圖顯示根據本發明實施例,一種用於在基板層中蝕刻出雙重圖案之雙重曝光方法的代表性操作步驟期間的工件截面圖。
200...方法
210、215、225、230、235...步驟
240、245、250、255...步驟

Claims (8)

  1. 一種雙重曝光圖案化方法,其包括:於一光阻層下方提供一基板,該基板包含一基板層;於一光微影工具中校準一光罩於該基板或基板層上的一特徵結構;曝光該光阻層以形成具有在一第一維度中的一第一關鍵尺寸的一第一對的光阻線,該第一對光阻線之間具有一第一間隔,且該第一對光阻線具有相對於該基板層的一第一校準,以及其中該曝光更印出具有在該第一維度中的一第三關鍵尺寸的一第三光組線;偏移該第一校準以具有一第二校準,該偏移係藉由提供相對於該曝光期間的一位置之一預定位置偏移至該光微影工具而達成,其中該偏移係在該第一維度中而不是在一第二維度中;使用該光罩來再次曝光該光阻層,而藉由一第二間隔使該第一對光阻線的其中至少一條線一分為二,以形成一節距縮小且關鍵尺寸(CD)縮小的雙重圖案,該雙重圖案包括至少兩光阻線與兩間隔;以及其中該再次曝光修剪該第三光阻線以在沒有將該第三光阻線一分為二的情況下,縮小該第三關鍵尺寸;以及蝕刻該基板層,使其具有該節距縮小且關鍵尺寸縮小的雙重圖案。
  2. 如申請專利範圍第1項之方法,其中該第一間隔具有一第一關鍵尺寸為X,該第一對光阻線中的每一條線具有約3倍的一關鍵尺寸,其中該第二校準是從該第一校準偏移約2倍,且其中再次曝光該光阻形成一雙重圖案,該雙重圖案是由一關鍵尺寸為X的多條光阻線以及一關鍵尺寸為X的多個間隔所組成,以及該第三關鍵尺寸縮小2倍。
  3. 如申請專利範圍第2項之方法,其中X為約32nm,且其中該光阻層的曝光與再次曝光是使用一65nm微影掃描器。
  4. 如申請專利範圍第3項之方法,更包括:在該光阻層的曝光之後,並且在該光阻層的再次曝光之前,切除一光罩的一部分。
  5. 如申請專利範圍第3項之方法,更包括:掃瞄該光罩的一第一部分以曝光該光阻層;以及掃瞄一第二部分且該第二部分小於該第一部分,以再次暴光該光阻層而形成一關鍵尺寸縮小且節距縮小的圖案,該圖案僅相應於該光罩的一部分。
  6. 如申請專利範圍第1項之方法,其中一CVD碳層位於該基板層與該光阻層之間,且其中該CVD碳層經蝕刻以具有該節距縮小且關鍵尺寸縮小的雙重圖案。
  7. 如申請專利範圍第6項之方法,其中在該光阻層中之該節距縮小且關鍵尺寸縮小的雙重圖案具有一第一線緣粗糙度(LER),且其中在該CVD碳層中之該節距縮小且關鍵尺寸縮小的雙重圖案具有一第二LER,該第二LER小於該第一LER。
  8. 如申請專利範圍第1項之方法,其中該曝光印出具有在該第一維度中的該第三關鍵尺寸的該第三線的一第一部分,以及印出具有在該第二維度中的一第四關鍵尺寸的該第三線的一第二部分,該第二維度正交於該第一維度,印出的該第三關鍵尺寸較第四關鍵尺寸大,以及其中該再次曝光縮小該第三關鍵尺寸使該第三關鍵尺寸約等於該第四關鍵尺寸。
TW098119955A 2008-06-16 2009-06-15 使用含碳硬光罩的雙重曝光圖案化方法 TWI406105B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US6196108P 2008-06-16 2008-06-16
US12/339,836 US8293460B2 (en) 2008-06-16 2008-12-19 Double exposure patterning with carbonaceous hardmask

Publications (2)

Publication Number Publication Date
TW201011475A TW201011475A (en) 2010-03-16
TWI406105B true TWI406105B (zh) 2013-08-21

Family

ID=41415118

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098119955A TWI406105B (zh) 2008-06-16 2009-06-15 使用含碳硬光罩的雙重曝光圖案化方法

Country Status (4)

Country Link
US (1) US8293460B2 (zh)
JP (2) JP5798286B2 (zh)
KR (1) KR101391863B1 (zh)
TW (1) TWI406105B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833212B (zh) * 2022-03-31 2024-02-21 南亞科技股份有限公司 硬遮罩結構

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102135728B (zh) * 2011-02-23 2012-07-25 大连理工大学 基于一维软模板纳米压印制作三维纳米网格结构的方法
US8735283B2 (en) 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
CN103187247B (zh) * 2011-12-31 2015-11-25 中国科学院微电子研究所 一种混合线条的制造方法
NL2011261A (en) 2012-08-20 2014-02-24 Asml Netherlands Bv Method of preparing a pattern, method of forming a mask set, device manufacturing method and computer program.
TWI460534B (zh) * 2013-06-25 2014-11-11 Rexchip Electronics Corp Double exposure mask structure and exposure development method
US9012330B2 (en) * 2013-08-22 2015-04-21 Nanya Technology Corp. Method for semiconductor cross pitch doubled patterning process
US10014184B2 (en) 2013-09-05 2018-07-03 Applied Materials, Inc. Methods and apparatus for forming a resist array using chemical mechanical planarization
JP6207412B2 (ja) * 2014-01-28 2017-10-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR102366801B1 (ko) * 2015-03-31 2022-02-25 삼성전자주식회사 반도체 소자의 제조 방법
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10359699B2 (en) * 2017-08-24 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Self-adaptive halogen treatment to improve photoresist pattern and magnetoresistive random access memory (MRAM) device uniformity
CN115903401B (zh) * 2022-12-22 2024-03-12 上海铭锟半导体有限公司 基于刻蚀与双重光刻的超分辨率图案实现方法及装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182549A1 (en) * 2001-05-31 2002-12-05 Ya-Hui Chang Alternate exposure method for improving photolithography resolution
US20060228854A1 (en) * 2004-08-31 2006-10-12 Luan Tran Methods for increasing photo alignment margins
US20060292876A1 (en) * 2005-06-21 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium
US20070003878A1 (en) * 2005-03-23 2007-01-04 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20070161251A1 (en) * 2005-03-15 2007-07-12 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7378289B1 (en) * 2005-04-05 2008-05-27 Integrated Device Technology, Inc. Method for forming photomask having test patterns in blading areas

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5739898A (en) * 1993-02-03 1998-04-14 Nikon Corporation Exposure method and apparatus
US6528238B1 (en) * 2000-09-22 2003-03-04 David Seniuk Methods for making patterns in radiation sensitive polymers
US6660456B2 (en) * 2001-06-27 2003-12-09 International Business Machines Corporation Technique for the size reduction of vias and other images in semiconductor chips
US6777143B2 (en) * 2002-01-28 2004-08-17 Taiwan Semiconductor Manufacturing Company Multiple mask step and scan aligner
JP2004029461A (ja) * 2002-06-26 2004-01-29 Toshiba Corp フォトマスク、露光方法及び半導体装置の製造方法
JP2005093720A (ja) * 2003-09-17 2005-04-07 Sony Corp 露光装置及び露光方法
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US7256873B2 (en) * 2004-01-28 2007-08-14 Asml Netherlands B.V. Enhanced lithographic resolution through double exposure
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4722550B2 (ja) * 2004-06-16 2011-07-13 東京エレクトロン株式会社 半導体装置の製造方法
US20050287483A1 (en) * 2004-06-23 2005-12-29 International Business Machines Corporation Contact hole printing method and apparatus with single mask, multiple exposures, and optimized pupil filtering
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
JP4913336B2 (ja) * 2004-09-28 2012-04-11 ルネサスエレクトロニクス株式会社 半導体装置
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
KR100687883B1 (ko) * 2005-09-03 2007-02-27 주식회사 하이닉스반도체 이중 노광용 포토마스크 및 이를 이용한 이중 노광 방법
US7824842B2 (en) * 2005-10-05 2010-11-02 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate
KR100811431B1 (ko) * 2005-12-28 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
EP1986223A4 (en) * 2006-02-16 2010-08-25 Nikon Corp EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7687209B2 (en) * 2006-03-21 2010-03-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with double exposure overlay control
JP2008004917A (ja) * 2006-05-24 2008-01-10 Fujitsu Ltd パターン形成方法及び半導体装置の製造方法
KR100746619B1 (ko) * 2006-06-28 2007-08-08 주식회사 하이닉스반도체 오버레이 버니어 키 및 오버레이 버니어 키의 형성방법
KR100843948B1 (ko) * 2006-07-10 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100876808B1 (ko) * 2006-07-10 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
JP2008098231A (ja) * 2006-10-06 2008-04-24 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182549A1 (en) * 2001-05-31 2002-12-05 Ya-Hui Chang Alternate exposure method for improving photolithography resolution
US20060228854A1 (en) * 2004-08-31 2006-10-12 Luan Tran Methods for increasing photo alignment margins
US20070161251A1 (en) * 2005-03-15 2007-07-12 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US20070003878A1 (en) * 2005-03-23 2007-01-04 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7378289B1 (en) * 2005-04-05 2008-05-27 Integrated Device Technology, Inc. Method for forming photomask having test patterns in blading areas
US20060292876A1 (en) * 2005-06-21 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833212B (zh) * 2022-03-31 2024-02-21 南亞科技股份有限公司 硬遮罩結構

Also Published As

Publication number Publication date
JP5690882B2 (ja) 2015-03-25
US20090311635A1 (en) 2009-12-17
TW201011475A (en) 2010-03-16
KR101391863B1 (ko) 2014-05-07
US8293460B2 (en) 2012-10-23
JP2010010676A (ja) 2010-01-14
JP5798286B2 (ja) 2015-10-21
JP2013243384A (ja) 2013-12-05
KR20090130832A (ko) 2009-12-24

Similar Documents

Publication Publication Date Title
TWI406105B (zh) 使用含碳硬光罩的雙重曝光圖案化方法
US8309463B2 (en) Method for forming fine pattern in semiconductor device
KR101140534B1 (ko) 포토레지스트 템플릿 마스크를 이용한 빈도 증배
US8673544B2 (en) Method of forming openings
US20080286449A1 (en) Template for Nano Imprint Lithography Process and Method of Manufacturing Semiconductor Device Using the Same
KR20080031694A (ko) 에칭 마스크 스택을 이용하는 다중 마스크 프로세스
JP2008166732A (ja) 半導体素子の製造方法
JP2009158907A (ja) 半導体素子の微細パターン形成方法
US20150325441A1 (en) Semiconductor fabrication method
JP2005150333A (ja) 半導体装置の製造方法
US8003540B2 (en) Method for manufacturing semiconductor device
US20140162459A1 (en) Methods of Forming A Pattern On A Substrate
US20090170310A1 (en) Method of forming a metal line of a semiconductor device
CN113707538B (zh) 半导体结构的制备方法、半导体结构及存储器
KR100310257B1 (ko) 반도체소자의 미세 패턴의 제조방법
KR100983724B1 (ko) 반도체 소자의 형성 방법
US7939451B2 (en) Method for fabricating a pattern
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
JP2010199519A (ja) パターン形成方法
JP2004296930A (ja) パターン形成方法
CN111640657A (zh) 半导体器件及其形成方法
TWI831915B (zh) 半導體裝置之製造方法
Mebarki et al. Self-aligned double patterning process for 32/32nm contact/space and beyond using 193 immersion lithography
US20090311865A1 (en) Method for double patterning lithography
CN111863600A (zh) 增加固体材料层和流体材料层之间贴附性的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees