TWI397606B - Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants - Google Patents

Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants Download PDF

Info

Publication number
TWI397606B
TWI397606B TW098114769A TW98114769A TWI397606B TW I397606 B TWI397606 B TW I397606B TW 098114769 A TW098114769 A TW 098114769A TW 98114769 A TW98114769 A TW 98114769A TW I397606 B TWI397606 B TW I397606B
Authority
TW
Taiwan
Prior art keywords
decane
film
porogen
precursor
cyclic
Prior art date
Application number
TW098114769A
Other languages
Chinese (zh)
Other versions
TW200946710A (en
Inventor
Raymond Nicholas Vrtis
Mark Leonard O'neill
Jean Louise Vincent
Aaron Scott Lukas
Mary Kathryn Haas
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200946710A publication Critical patent/TW200946710A/en
Application granted granted Critical
Publication of TWI397606B publication Critical patent/TWI397606B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

生孔劑、生孔性前驅物及使用其提供具有低介電常數之多孔性有機矽石玻璃膜的方法Porous agent, porogenic precursor, and method for providing porous organic vermiculite glass film having low dielectric constant 相關申請的交叉參考Cross-reference to related applications

本申請根據35 U.S.C. § 119(e)要求2002年4月17日提交的臨時美國專利申請No. 60/373,104的優先權,且是2003年4月7日提交的美國專利申請No. 10/409,468(其又是2002年5月17日提交的美國專利申請No. 10/150,798的部分繼續)的部分繼續,將其公開內容以參考方式引入本文。The present application claims priority to Provisional U.S. Patent Application Serial No. 60/373,104, filed on Apr. 17, 2002, which is incorporated herein by reference. Part of the continuation of the U.S. Patent Application Serial No. 10/150,798, filed on May 17, 2002, the disclosure of which is incorporated herein by reference.

本發明涉及通過CVD法製得的低介電常數材料的領域。特別地,本發明涉及用於製備這種材料的膜的方法和它們作為電子器件中絕緣層的用途。This invention relates to the field of low dielectric constant materials produced by CVD. In particular, the invention relates to methods for preparing films of such materials and their use as insulating layers in electronic devices.

電子工業利用介電材料作為電路和積體電路(IC)元件以及相關電子器件之間的絕緣層。為了提高微電子器件(例如電腦晶片)的速度和記憶儲存能力,一直都在降低線尺寸。隨著線尺寸降低,對於層間絕緣(ILD)的絕緣要求變得越來越苛刻。間距縮短需要更低的介電常數以使RC時間常數最小化,其中R為導線的電阻且C為絕緣介電中間層的電容。C值與間距成反比且與層間絕緣(ILD)的介電常數(k)成正比。由SiH4 或TEOS(Si(OCH2 CH3 )4 ,四乙基原矽酸鹽)和O2 製得的傳統矽石(SiO2 )CVD介電膜具有大於4.0的介電常數k。存在幾種工業嘗試製備基於矽石的較低介電常數的CVD膜的方式,最成功的是採用有機基團摻雜絕緣矽氧化物膜,提供了範圍為2.7~3.5的介電常數。這種有機矽石玻璃典型地由有機矽前驅物如甲基矽烷或矽氧烷和氧化劑如O2 或N2 O沉積為緻密膜(密度~1.5g/cm3 )。有機矽石玻璃在本文中將稱作OSG。隨著介電常數或“k”值降到低於2.7,且同時具有更高的器件密度和更小的尺寸,工業已耗盡了大部分適應於緻密膜的低k組份且轉向用於改進絕緣性能的各種多孔材料。The electronics industry utilizes dielectric materials as an insulating layer between circuit and integrated circuit (IC) components and associated electronics. In order to increase the speed and memory storage capacity of microelectronic devices (such as computer chips), the line size has been reduced. As wire sizes decrease, the insulation requirements for interlayer insulation (ILD) become more and more demanding. The pitch shortening requires a lower dielectric constant to minimize the RC time constant, where R is the resistance of the wire and C is the capacitance of the insulating dielectric interlayer. The C value is inversely proportional to the pitch and proportional to the dielectric constant (k) of the interlayer insulation (ILD). A conventional vermiculite (SiO 2 ) CVD dielectric film made of SiH 4 or TEOS (Si(OCH 2 CH 3 ) 4 , tetraethyl orthosilicate) and O 2 has a dielectric constant k greater than 4.0. There are several ways in which industrial attempts to prepare CVD films based on vermiculite with lower dielectric constants, the most successful being the use of organic group doped insulating germanium oxide films, providing dielectric constants ranging from 2.7 to 3.5. Such organic vermiculite glass is typically deposited as a dense film (density ~ 1.5 g/cm 3 ) from an organic rhodium precursor such as methyl decane or a decane and an oxidizing agent such as O 2 or N 2 O. Organic vermiculite glass will be referred to herein as OSG. As the dielectric constant or "k" value drops below 2.7, and at the same time has a higher device density and smaller size, the industry has exhausted most of the low-k components adapted to dense membranes and diverted for Various porous materials that improve insulation properties.

通過CVD法獲得的多孔ILD領域中已知的專利和申請包括:EP 1 119 035 A2和US 6,171,945,其描述了由具有不穩定基團的有機矽前驅物在氧化劑如N2 O和任選地過氧化物的存在下沉積OSG膜的方法,隨後採用熱退火除去不穩定基團以提供多孔OSG;US 6,054,206和6,238,751,其教導了採用氧化退火從沉積的OSG中除去基本上全部有機基團以獲得多孔無機SiO2 ;EP 1 037 275,其描述了沉積氫化的碳化矽膜,通過採用氧化電漿的隨後處理使其轉化為多孔無機SiO2;和US 6,312,793 B1、WO 00/24050、以及文章Grill、A. Patel、V. Appl. Phys. Lett.(2001)、79(6),第803-805頁,其均教導了由有機矽前驅物和有機化合物共沉積膜,且隨後熱退火以提供多相OSG/有機膜,其中保留一部分聚合的有機組份。這些後者參考文獻中,該膜的最終組成顯示殘留的生孔劑和高的烴膜含量(80~90原子%)。優選地,最終膜保持類似SiO2 的網路,其中一部分氧原子替換為有機基團。Known patents and applications in the field of porous ILDs obtained by CVD include: EP 1 119 035 A2 and US 6,171,945, which describe an organic ruthenium precursor having an unstable group in an oxidant such as N 2 O and optionally A method of depositing an OSG film in the presence of a peroxide, followed by thermal annealing to remove the labile groups to provide a porous OSG; US 6,054,206 and 6,238,751, which teach the use of oxidative annealing to remove substantially all of the organic groups from the deposited OSG. Obtaining porous inorganic SiO 2 ; EP 1 037 275, which describes the deposition of a hydrogenated tantalum carbide film, which is converted into porous inorganic SiO 2 by subsequent treatment with an oxidizing plasma; and US 6,312,793 B1, WO 00/24050, and the article Grill , A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805, both teach co-deposited films from organic germanium precursors and organic compounds, and then thermally annealed to provide Multiphase OSG/organic membrane in which a portion of the polymerized organic component remains. In these latter references, the final composition of the film shows residual porogen and high hydrocarbon film content (80 to 90 atomic percent). Preferably, the final film maintains a network similar to SiO 2 in which a portion of the oxygen atoms are replaced by organic groups.

本文中公開的所有參考文獻全部內容以參考方式引入本文。All references disclosed herein are hereby incorporated by reference in their entirety.

一種用於製備式Siv Ow Cx Hy Fz 所示的多孔有機矽石玻璃膜的化學氣相沉積方法,其中v+w+x+y+z=100%,v為10~35原子%,w為10~65原子%,x為5~30原子%,y為10~50原子%和z為0~15原子%,所述方法包括:在真空室內提供基材;在真空室內引入氣態反應物,其包含至少一種選自有機矽烷和有機矽氧烷的前驅物,和不同於該前驅物的生孔劑,其中該生孔劑為具有非支化結構和等於或小於2的不飽和度的C4~C14環狀烴化合物;在真空室中將能量施加到氣態反應物以引發氣態反應物的反應,由此在基材上沉積初級膜(preliminary film),其中該初級膜含有生孔劑;和從該初級膜中充分除去全部不穩定的有機材料,由此提供具有孔隙和小於2.6的介電常數的多孔膜。A chemical vapor deposition method for preparing a porous organic vermiculite glass film represented by the formula Si v O w C x H y F z , wherein v+w+x+y+z=100%, and v is 10-35 Atomic %, w is 10 to 65 atom%, x is 5 to 30 atom%, y is 10 to 50 atom%, and z is 0 to 15 atom%, and the method includes: providing a substrate in a vacuum chamber; in a vacuum chamber Introducing a gaseous reactant comprising at least one precursor selected from the group consisting of organodecane and organodecane, and a porogen different from the precursor, wherein the porogen has a non-branched structure and is equal to or less than 2 Unsaturated C4 to C14 cyclic hydrocarbon compound; applying energy to the gaseous reactant in a vacuum chamber to initiate a reaction of the gaseous reactant, thereby depositing a preliminary film on the substrate, wherein the primary film contains a porogen; and sufficiently removing all of the unstable organic material from the primary film, thereby providing a porous film having pores and a dielectric constant of less than 2.6.

另一方面,本發明提供了組合物,其包括:(a)(i)至少一種選自下列的前驅物:二乙氧基甲基矽烷、二甲氧基甲基矽烷、二異丙氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、甲基三異丙氧基矽烷、甲基三第三丁氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、二甲基二異丙氧基矽烷、二甲基二第三丁氧基矽烷、和四乙氧基矽烷、三甲基矽烷、四甲基矽烷、甲基三乙醯氧基矽烷、甲基二乙醯氧基矽烷、甲基乙氧基二矽氧烷、四甲基環四矽氧烷、八甲基環四矽氧烷、二甲基二乙醯氧基矽烷、雙(三甲氧基甲矽烷基)甲烷、雙(二甲氧基甲矽烷基)甲烷、四乙氧基矽烷、三乙氧基矽烷、及其混合;和(ii)不同於該至少一種前驅物的生孔劑,所述生孔劑為選自下列的成員:環辛烯、環庚烯、環辛烷、環庚烷、及其混合。In another aspect, the invention provides a composition comprising: (a) (i) at least one precursor selected from the group consisting of diethoxymethyl decane, dimethoxymethyl decane, diisopropoxy Methyl decane, di-tert-butoxymethyl decane, methyl triethoxy decane, methyl trimethoxy decane, methyl triisopropoxy decane, methyl tri-tert-butoxy decane, dimethyl Dimethoxy decane, dimethyl diethoxy decane, dimethyl diisopropoxy decane, dimethyl ditributyl decane, and tetraethoxy decane, trimethyl decane, four Methyl decane, methyltriethoxy decane, methyldiethoxy decane, methyl ethoxy dioxane, tetramethylcyclotetraoxane, octamethylcyclotetraoxane, Dimethyldiethoxydecane, bis(trimethoxyformamido)methane, bis(dimethoxycarbinyl)methane, tetraethoxydecane, triethoxydecane, and mixtures thereof; (ii) a porogen different from the at least one precursor, the porogen being a member selected from the group consisting of cyclooctene, cycloheptene, cyclooctane, cycloheptane, and mixtures thereof.

依據本發明,具有非支化結構和等於或小於2的不飽和度的C4~C14環狀烴化合物在用作生孔劑時,在多孔低介電膜中產生令人吃驚地優異的機械性能。According to the present invention, a C4 to C14 cyclic hydrocarbon compound having a non-branched structure and an unsaturation equal to or less than 2 produces surprisingly excellent mechanical properties in a porous low dielectric film when used as a porogen .

有機矽酸鹽適應於低k材料,但是無需加入生孔劑以增加這些材料的多孔性,它們的固有介電常數局限於低至2.7。空隙空間的固有介電常數為1.0的多孔性的增加,降低了膜的整體介電常數,通常是以機械性能為代價的。材料性能取決於化學沉積和膜結構。由於有機矽前驅物的類型顯著影響膜結構和組成,因此有利地使用提供所需膜性能的前驅物,由此確保增加所需量的多孔性以達到期望的介電常數,不會產生機械性能上有缺點的膜。由此,本發明提供了產生具有期望的電學和機械性能平衡的多孔OSG膜的方法。其他膜性能經常跟蹤電學或機械性能。Organic niobates are suitable for low-k materials, but do not require the addition of a porogen to increase the porosity of these materials, their inherent dielectric constants being limited to as low as 2.7. An increase in the porosity of the void space having an intrinsic dielectric constant of 1.0 reduces the overall dielectric constant of the film, usually at the expense of mechanical properties. Material properties depend on chemical deposition and film structure. Since the type of organic germanium precursor significantly affects the film structure and composition, it is advantageous to use precursors that provide the desired film properties, thereby ensuring an increase in the required amount of porosity to achieve the desired dielectric constant without mechanical properties. There are defects in the film. Thus, the present invention provides a method of producing a porous OSG film having a desired balance of electrical and mechanical properties. Other film properties often track electrical or mechanical properties.

本發明的優選實施方式提供了具有低介電常數和相對於其他多孔有機矽石玻璃材料改進的機械性能、熱穩定性、以及耐化學品(氧、含水氧化環境等)性能的薄膜材料。這是因為在該膜中引入了碳(優選主要為有機碳的形式,-CHx 、其中x為1~3,更優選大部分C為-CH3 形式。),由此特定前驅物或網路形成化學品用於在無氧化劑(除了任選的添加劑/載氣CO2 之外,達到認為起到氧化劑的程度)的環境中沉積膜。也優選該膜中大部分氫鍵合於碳。Preferred embodiments of the present invention provide film materials having low dielectric constant and improved mechanical properties, thermal stability, and resistance to chemicals (oxygen, aqueous oxidizing environments, etc.) relative to other porous organic vermiculite glass materials. This is because carbon is introduced into the film (preferably in the form of predominantly organic carbon, -CH x , where x is from 1 to 3, more preferably most C is in the form of -CH 3 ), whereby a particular precursor or network environmental chemicals for passage formed in the absence of an oxidizing agent (in addition to optional additive / carrier gas other than CO 2, to the extent that functions as an oxidizing agent) in the deposited film. It is also preferred that most of the hydrogen in the film is bonded to carbon.

由此,本發明的優選實施方式包括:(a)約10~約35原子%,更優選約20~約30%矽;(b)約10~約65原子%,更優選約20~約45原子%氧;(c)約10~約50原子%,更優選約15~約40原子%氫;(d)約5~約30原子%,更優選約5~約20原子%碳。膜還可以含有約0.1~約15原子%,更優選約0.5~約7.0原子%氟,由此改進一種或多種材料性能。本發明的一些膜中也可以存在更少比例的其他元素。認為OSG材料是低k材料,因為它們的介電常數小於工業中常用的標準材料-矽玻璃的介電常數。可以通過將孔隙形成物質或生孔劑加到沉積過程中,將該生孔劑引入由此沉積的(即初步)OSG膜中,並從該初級膜中充分除去全部生孔劑同時充分保留該初級膜的末端Si-CH3 基團以提供產物膜,提供本發明的材料。該產物膜是多孔OSG且具有從初級膜以及從無生孔劑而沉積的類似膜降低的介電常數。相對於缺少由OSG中有機基團提供的疏水性的多孔無機SiO2 ,重要的是將本發明的膜區分為多孔OSG。Thus, preferred embodiments of the invention include: (a) from about 10 to about 35 atomic percent, more preferably from about 20 to about 30% hydrazine; (b) from about 10 to about 65 atomic percent, more preferably from about 20 to about 45 Atomic % oxygen; (c) from about 10 to about 50 atom%, more preferably from about 15 to about 40 atom% hydrogen; (d) from about 5 to about 30 atom%, more preferably from about 5 to about 20 atom% carbon. The film may also contain from about 0.1 to about 15 atomic percent, more preferably from about 0.5 to about 7.0 atomic percent of fluorine, thereby improving one or more material properties. A smaller proportion of other elements may also be present in some of the films of the present invention. OSG materials are considered to be low-k materials because their dielectric constant is less than the dielectric constant of the standard material commonly used in the industry - germanium glass. The porogen may be introduced into the thus deposited (i.e., preliminary) OSG film by adding a pore forming substance or a porogen to the deposition process, and the entire porogen is sufficiently removed from the primary film while sufficiently retaining the end of the primary film Si-CH 3 group to provide a product film material of the present invention is provided. The product film is a porous OSG and has a reduced dielectric constant from the primary film and from a similar film deposited without the porogen. In contrast to the porous inorganic SiO 2 lacking the hydrophobicity provided by the organic groups in the OSG, it is important to distinguish the membrane of the present invention into a porous OSG.

由PE-CVD TEOS製得的矽石具有固有的自由體積孔徑,通過正電子湮沒壽命光譜(PALS)分析測量其當量球徑為約0.6nm。通過小角中子散射(SANS)或PALS測量的本發明膜的孔徑的當量球徑優選地小於5nm,更優選地當量球徑小於2.5nm。The vermiculite produced by PE-CVD TEOS has an intrinsic free-volume pore size and its equivalent spherical diameter is about 0.6 nm as measured by positron annihilation lifetime spectroscopy (PALS) analysis. The equivalent spherical diameter of the pore diameter of the membrane of the present invention measured by small angle neutron scattering (SANS) or PALS is preferably less than 5 nm, more preferably the equivalent spherical diameter is less than 2.5 nm.

該膜的總孔隙度可以為5~75%,取決於製程條件和期望的最終膜性能。本發明膜的密度優選地小於2.0g/cm3 ,或者替換地,小於1.5g/cm3 或小於1.25g/cm3 。優選地,本發明膜的密度比不使用生孔劑製得的類似OSG膜的密度小至少10%,更優選地小至少20%。The film may have a total porosity of from 5 to 75%, depending on process conditions and desired final film properties. The density of the film of the invention is preferably less than 2.0 g/cm 3 or, alternatively, less than 1.5 g/cm 3 or less than 1.25 g/cm 3 . Preferably, the density of the film of the invention is at least 10% less, more preferably at least 20% less than the density of a similar OSG film made without the use of a porogen.

該膜的孔隙度不必是整個膜內均勻的。一些實施方式中,存在孔隙度梯度和/或不同孔隙度的多個層。這種膜可以通過例如在沉積期間調節生孔劑與前驅物的比例來提供。The porosity of the film need not be uniform throughout the film. In some embodiments, there are multiple layers of porosity gradients and/or different porosities. Such a film can be provided by, for example, adjusting the ratio of porogen to precursor during deposition.

本發明膜相對於傳統OSG材料具有更低的介電常數。優選地,本發明膜比不採用生孔劑製得的類似OSG膜低至少0.3,更優選地低至少0.5。優選地,本發明多孔膜的傅立葉變換紅外(FTIR)光譜與通過基本上等同於該方法、除了缺少任意生孔劑的製程製得的對照膜的對照FTIR基本上等同。The film of the invention has a lower dielectric constant relative to conventional OSG materials. Preferably, the film of the invention is at least 0.3, more preferably at least 0.5 lower than an OSG film made without the use of a porogen. Preferably, the Fourier Transform Infrared (FTIR) spectrum of the porous membrane of the present invention is substantially equivalent to the control FTIR of a control membrane prepared by a process substantially equivalent to the process except for the lack of any porogen.

本發明膜優選地相對於傳統OSG膜具有優異的機械性能。優選地,本發明膜(例如,不具有任意加入的生孔劑的膜)的基礎OSG結構的硬度或模數(通過奈米切口測量)比相同介電常數的類似OSG膜大至少10%,更優選地大25%。The film of the invention preferably has excellent mechanical properties relative to conventional OSG films. Preferably, the hardness or modulus of the base OSG structure of the film of the invention (eg, a film without any added porogen) (measured by nano-notch) is at least 10% greater than a similar OSG film of the same dielectric constant, More preferably, it is 25% larger.

本發明膜無需使用氧化劑來沉積低k膜。不存在將氧化劑(出於本發明目的,其定義為可以氧化有機基團的部分,例如O2 、N2 O、過氧化氫、NO、NO2 、N2 O4 、或其混合)加到氣相中,有利於在該膜中保留前驅物的甲基基團。這樣容許引入最小量的、提供期望的性能如降低的介電常數和疏水性所必須的碳。另外,這樣區域提供矽石網路的最大保留,提供了具有優於普通蝕刻停止材料(例如碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽等)的機械性能、粘合力、和蝕刻選擇性,因為該膜保留了更加類似於傳統介電絕緣體矽石的特性。The film of the invention does not require the use of an oxidizing agent to deposit a low-k film. There is no oxidizing agent (for the purposes of the present invention, which is defined as a moiety which can oxidize an organic group, such as O 2 , N 2 O, hydrogen peroxide, NO, NO 2 , N 2 O 4 , or a mixture thereof) In the gas phase, it is advantageous to retain the methyl group of the precursor in the film. This allows the introduction of a minimum amount of carbon necessary to provide the desired properties such as reduced dielectric constant and hydrophobicity. In addition, such a region provides maximum retention of the vermiculite network, providing mechanical properties and adhesion superior to conventional etch stop materials such as tantalum carbide, hydrogenated tantalum carbide, tantalum nitride, hydrogenated tantalum nitride, and the like. And etch selectivity because the film retains properties that are more similar to conventional dielectric insulator vermiculite.

本發明也可以任選地含有無機氟形式(例如Si-F)的氟。氟存在時,優選地含量範圍為0.5~7原子%。The invention may also optionally contain fluorine in the form of an inorganic fluorine such as Si-F. In the presence of fluorine, the content is preferably in the range of 0.5 to 7 atom%.

本發明膜是熱穩定的,具有良好耐化學品性能。特別地,優選的膜在425℃下N2 下退火之後,其平均重量損失小於1.0wt%/hr等溫。另外,該膜優選地在425℃下空氣下平均重量損失小於1.0wt%/hr等溫。The film of the invention is thermally stable and has good chemical resistance. In particular, preferred films at 425 deg.] C under N 2, after annealing, the average weight loss of less than 1.0wt% / hr isothermal. Additionally, the film preferably has an average weight loss of less than 1.0 wt%/hr isothermal at 425 °C.

該膜適用於各種應用。該膜特別適用於在半導體基材上沉積,且特別適用於用作例如絕緣層、層間介電層和/或金屬間介電層。該膜可以形成保形塗層。這些膜顯示的機械性能使它們特別適合用於Al減色技術和Cu鑲嵌或雙鑲嵌技術。The film is suitable for a variety of applications. The film is particularly suitable for deposition on semiconductor substrates and is particularly useful for use as, for example, an insulating layer, an interlayer dielectric layer, and/or an intermetal dielectric layer. The film can form a conformal coating. The mechanical properties exhibited by these films make them particularly suitable for use in Al subtractive technology and Cu damascene or dual damascene techniques.

該膜與化學機械平面化(CMP)和各向異性蝕刻相容,且能夠粘著於多種材料如矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、氮化硼、抗反射塗層、光微影膠、有機聚合物、多孔有機和無機材料、金屬如銅和鋁、以及擴散阻隔層諸如但並非限定於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN或W(C)N。優選地,該膜能夠充分粘著於至少一種前述材料以通過傳統牽引試驗,如ASTM D3359-95a帶式牽引試驗。如果不存在辨別得出的膜去除,認為樣品已通過了該試驗。The film is compatible with chemical mechanical planarization (CMP) and anisotropic etching, and is capable of adhering to various materials such as germanium, SiO 2 , Si 3 N 4 , OSG, FSG, tantalum carbide, hydrogenated tantalum carbide, and nitriding. Bismuth, hydrogenated tantalum nitride, niobium carbonitride, hydrogenated niobium carbonitride, boron nitride, antireflective coating, photolithography, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, And a diffusion barrier layer such as, but not limited to, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN or W(C)N. Preferably, the film is capable of sufficiently adhering to at least one of the foregoing materials for passing a conventional traction test, such as the ASTM D3359-95a belt draw test. If there is no discerned film removal, the sample is considered to have passed the test.

由此一些實施方式中,該膜為積體電路中的絕緣層、層間介電層、金屬間介電層、保護層、化學-機械平面化或蝕刻停止層、阻隔層或粘合層。In some embodiments, the film is an insulating layer, an interlayer dielectric layer, an intermetal dielectric layer, a protective layer, a chemical-mechanical planarization or etch stop layer, a barrier layer, or an adhesion layer in an integrated circuit.

雖然本發明特別適用於提供膜且本發明的產品在本文中主要描述為膜,但是本發明並非限定於此。本發明產品可以以能夠由CVD沉積的任意形式來提供,如塗層、多層元件、和不必是平面或薄的其他類型物體,以及許多並非必須用於積體電路的物體。優選地,該基材為半導體。While the invention is particularly applicable to providing a film and the products of the invention are primarily described herein as a film, the invention is not limited thereto. The products of the present invention can be provided in any form that can be deposited by CVD, such as coatings, multilayer components, and other types of objects that are not necessarily planar or thin, as well as many objects that are not necessarily used in integrated circuits. Preferably, the substrate is a semiconductor.

除了本發明OSG產品之外,本發明包括用於製備該產品的方法,使用該產品的方法,和用於製備該產品的化合物和組合物。In addition to the OSG products of the present invention, the invention includes methods for preparing the products, methods of using the products, and compounds and compositions for preparing the products.

沉積的膜中的生孔劑與引入反應室的生孔劑前驅物可以是相同形式或不同形式。另外,生孔劑去除過程可以從該膜中釋放生孔劑或其碎片。本質上,生孔劑反應物、初級膜中的生孔劑、和除去的生孔劑可以是相同或不同物質,但是優選地它們都是源於生孔劑反應物。無論本發明方法之中該生孔劑是否變化,本文中使用的術語“生孔劑”都旨在包括孔隙形成反應物及其衍生物,無論是在本發明整個過程中發現的任意形式。The porogen in the deposited film may be in the same form or in a different form from the porogen precursor introduced into the reaction chamber. Additionally, the porogen removal process can release the porogen or its fragments from the membrane. Essentially, the porogen reactant, the porogen in the primary membrane, and the removed porogen may be the same or different materials, but preferably they are all derived from the porogen reactant. Regardless of whether the porogen is altered in the process of the invention, the term "porogen" as used herein is intended to include both pore forming reactants and derivatives thereof, whether in any form found throughout the process of the invention.

雖然短語“氣態反應物”有時在本文中用於描述反應物,但是該短語旨在包括直接以氣體供給反應器,以其汽化液體、昇華固體供給和/或通過載體輸送到反應器的反應物。Although the phrase "gaseous reactant" is sometimes used herein to describe a reactant, the phrase is intended to include direct supply to a reactor with a gas, vaporized liquid, sublimed solids supply, and/or transported to the reactor via a carrier. Reactant.

另外,反應物可以由不同來源分開地或者以混合物形式引入反應器。反應物可以通過任意多種方式供給反應器系統,優選地採用裝有適當閥和配件以容許將液體供給製程反應器的、可加壓的不銹鋼容器。Alternatively, the reactants may be introduced into the reactor separately or from a mixture from different sources. The reactants can be supplied to the reactor system in any of a variety of ways, preferably by a pressurized stainless steel vessel containing suitable valves and fittings to permit liquid to be supplied to the process reactor.

一些實施方式中,組合使用各種有機矽烷和/或有機矽氧烷的混合物。使用多種不同生孔劑和有機矽烷的組合也是在本發明的範圍之內。這種實施方式有利於調節最終產物中孔隙與Si的比例,和/或提高基礎OSG結構的一種或多種關鍵性能。例如,利用二乙氧基甲基矽烷(DEMS)和生孔劑的沉積可以使用額外的有機矽如四乙氧基矽烷(TEOS)來改進膜機械強度。In some embodiments, a mixture of various organodecanes and/or organodecanes is used in combination. Combinations of a plurality of different porogens and organodecane are also within the scope of the invention. Such an embodiment facilitates adjusting the ratio of pores to Si in the final product and/or improving one or more key properties of the underlying OSG structure. For example, the deposition of diethoxymethyl decane (DEMS) and a porogen can be used to improve the mechanical strength of the film using an additional organic oxime such as tetraethoxy decane (TEOS).

除了結構形成物質和孔隙形成物質之外,可以在沉積反應之前、之中和/或之後將其他材料注入真空室內。這些材料包括例如惰性氣體(例如He、Ar、N2 、Kr、Xe等,可以利用其作為用於更低揮發性前驅物的載氣和/或其可以促進由此沉積的材料的硬化並提供更穩定的最終膜)和反應性物質如氣態或液體有機物質NH3 、H2 、CO2 、或CO。CO2 為優選的載氣。也可以加入氧化氣體諸如例如O2 、N2 O NO、NO2 和O3In addition to the structure forming material and the pore forming material, other materials may be injected into the vacuum chamber before, during, and/or after the deposition reaction. These materials include, for example, inert gases such as He, Ar, N 2 , Kr, Xe, etc., which can be utilized as carrier gases for lower volatility precursors and/or which can promote hardening of the materials thus deposited and provide more stable final film) and reactive substances such as gaseous or liquid organic substances NH 3, H 2, CO 2 , or CO. CO 2 is a preferred carrier gas. Oxidizing gases such as, for example, O 2 , N 2 O , NO, NO 2 and O 3 may also be added.

將能量施加到氣態反應物以引發氣體反應並在基材上形成膜。該能量可以通過例如熱、電漿、脈衝電漿、螺旋狀電漿、高密度電漿、感應耦合電漿、遠端(remote)電漿方法來提供。可以利用次級rf頻率源在基材表面上改性電漿特性。優選地,通過電漿增強的化學氣相沉積形成該膜。特別優選地在13.56MHz頻率下產生感應耦合電漿。電漿能量優選為0.02~7瓦特/cm2 、更優選為0.3~3瓦特/cm2 ,基於基材的表面積。可以有利地採用具有低電離能的載氣以降低電漿中的電子溫度,其反過來將導致OSG前驅物和生孔劑中更少的***。這種類型低電離氣體的實例包括CO2 、NH3 、CO、CH4 、Ar、Xe、和Kr。Energy is applied to the gaseous reactants to initiate a gas reaction and form a film on the substrate. This energy can be provided by, for example, heat, plasma, pulsed plasma, spiral plasma, high density plasma, inductively coupled plasma, remote plasma methods. The secondary rf frequency source can be utilized to modify the plasma properties on the surface of the substrate. Preferably, the film is formed by plasma enhanced chemical vapor deposition. Inductively coupled plasma is particularly preferably produced at a frequency of 13.56 MHz. The plasma energy is preferably from 0.02 to 7 watts/cm 2 , more preferably from 0.3 to 3 watts/cm 2 , based on the surface area of the substrate. A carrier gas having a low ionization energy can be advantageously employed to lower the temperature of the electrons in the plasma, which in turn will result in less splitting in the OSG precursor and porogen. Examples of this type of low ionization gas include CO 2 , NH 3 , CO, CH 4 , Ar, Xe, and Kr.

每種氣態反應物的流速範圍優選為10~5000sccm,更優選為30~1000sccm,每單個200mm晶片。選擇各自速率,由此在該膜中提供期望量的結構形成劑和孔隙形成劑。所需的實際流速可以取決於晶片尺寸和室結構,且決不限定於200mm晶片或單個晶片室。The flow rate of each gaseous reactant preferably ranges from 10 to 5000 sccm, more preferably from 30 to 1000 sccm, per single 200 mm wafer. The respective rates are selected to thereby provide the desired amount of structure former and pore former in the film. The actual flow rate required may depend on the wafer size and chamber structure and is in no way limited to a 200 mm wafer or a single wafer chamber.

優選以至少50nm/min的沉積速率沉積該膜。The film is preferably deposited at a deposition rate of at least 50 nm/min.

沉積期間真空室內壓力優選為0.01~600托,更優選為1~15托。The pressure in the vacuum chamber during deposition is preferably from 0.01 to 600 Torr, more preferably from 1 to 15 Torr.

優選地將該膜沉積到0.0002~10微米厚度,但是可以如所需地改變該厚度。在無圖案化的表面上沉積的覆蓋膜具有優異均一性,其基材之中厚度差別小於2%,標準偏差超過1,排除合理的邊緣,其中例如基材的最外層5mm邊緣不包含在均一性的統計計算之內。The film is preferably deposited to a thickness of 0.0002 to 10 microns, but the thickness can be varied as desired. A cover film deposited on an unpatterned surface has excellent uniformity with a thickness difference of less than 2% in the substrate, a standard deviation of more than 1, excluding a reasonable edge, wherein, for example, the outermost 5 mm edge of the substrate is not included in the uniformity Within the statistical calculations.

該膜孔隙度可以隨著相應降低的松密度而增加,由此導致該材料介電常數的進一步降低,且使這種材料的適用性拓展到下一代(例如,k<2.0)。The film porosity can increase with a correspondingly reduced bulk density, thereby resulting in a further reduction in the dielectric constant of the material and extending the applicability of such materials to the next generation (eg, k < 2.0).

如果在退火多孔OSG和未添加生孔劑的類似OSG之間不存在統計學上顯著的原子組成測量差別,認為除去了基本上全部生孔劑。組成分析方法(例如X射線電光子分光光譜(XPS)、盧瑟福背散射/氫向前散射(RBS/HFS))的固有測量誤差和製程可變性二者都有助於資料範圍。對於XPS固有測量誤差為約+/-2原子%,同時對於RBS/HFS預期其更大,依據物質其範圍為+/-2~5原子%。製程可變性將進一步有助於最終資料範圍的+/-2原子%。If there is no statistically significant difference in atomic composition measurements between the annealed porous OSG and a similar OSG without the addition of a porogen, it is believed that substantially all of the porogen is removed. Both the inherent measurement error and process variability of the compositional analysis methods (eg, X-ray electrophotonic spectroscopy (XPS), Rutherford backscattering/hydrogen forward scattering (RBS/HFS)) contribute to the data range. The inherent measurement error for XPS is about +/- 2 atomic %, while it is expected to be larger for RBS/HFS, depending on the material, ranging from +/- 2 to 5 atomic percent. Process variability will further contribute to +/- 2 atomic percent of the final data range.

如下為適合用作依據本發明的獨特生孔劑的矽-基前驅物的非限定性實例。隨後的化學式中和整個文獻中的所有化學式中,術語“獨立地”應理解為表示目標R基團不僅相對於帶有不同上標的其他R基團獨立地選擇,而且也相對於相同R基團的任意其他物質獨立地選擇。例如,式R1 n (OR2 )4-n Si中,當n為2或3時,兩個或三個R1 基團不必彼此相同或者與R2 相同。The following are non-limiting examples of ruthenium-based precursors suitable for use as unique porogens in accordance with the present invention. In the subsequent chemical formulas and in all chemical formulas throughout the literature, the term "independently" is understood to mean that the target R group is selected independently not only with respect to other R groups with different superscripts, but also with respect to the same R group. Any other substance is selected independently. For example, in the formula R 1 n (OR 2 ) 4-n Si, when n is 2 or 3, two or three R 1 groups are not necessarily identical to each other or the same as R 2 .

-R1 n (OR2 )3-n Si,其中R1 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3。-R 1 n (OR 2 ) 3-n Si, wherein R 1 may independently be H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated; R 2 may independently be C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3.

-實例:二乙氧基甲基矽烷、二甲基二甲氧基矽烷- Example: diethoxymethyl decane, dimethyl dimethoxy decane

-R1 n (OR2 )3- nSi-O-SiR3 m (OR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R4 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (OR 2 ) 3- nSi-O-SiR 3 m (OR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, linear or branched, saturated, mono- or Polyunsaturated, cyclic, partially or fully fluorinated; R 2 and R 4 may independently be C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or Fully fluorinated; n is from 1 to 3 and m is from 1 to 3.

-實例:1,3-二甲基-1,3-二乙氧基二矽氧烷- Example: 1,3-dimethyl-1,3-diethoxydioxane

-R1 n (OR2 )3-n Si-SiR3 m (OR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R4 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (OR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, linear or branched, saturated, single or multiple Unsaturated, cyclic, partially or fully fluorinated; R 2 and R 4 may independently be C1 to C6, linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully Fluorinated; n is 1 to 3 and m is 1 to 3.

-實例:1,2-二甲基-1,1,2,2-四乙氧基二矽烷- Example: 1,2-dimethyl-1,1,2,2-tetraethoxydioxane

-R1 n (O(O)CR2 )4-n Si,其中R1 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3。-R 1 n (O(O)CR 2 ) 4-n Si, wherein R 1 may independently be H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or completely Fluorinated; R 2 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3.

-實例:二甲基二乙醯氧基矽烷-Example: dimethyldiethoxy decane

-R1 n (O(O)CR2 )3-n Si-O-SiR3 m (O(O)CR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R4 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (O(O)CR 2 ) 3-n Si-O-SiR 3 m (O(O)CR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, Linear or branched, saturated, mono or polyunsaturated, cyclic, partially or fully fluorinated; R 2 and R 4 may independently be H, C1 to C6, linear or branched, saturated, single or more Saturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3 and m is from 1 to 3.

-實例:1,3-二甲基-1,3-二乙醯氧基二矽氧烷-Example: 1,3-Dimethyl-1,3-diethoxydecyloxydioxane

-R1 n (O(O)CR2 )3-n Si-SiR3 m (O(O)CR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R4 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (O(O)CR 2 ) 3-n Si-SiR 3 m (O(O)CR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, linear or Branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated; R 2 and R 4 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated , cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3 and m is from 1 to 3.

-實例:1,2-二甲基-1,1,2,2-四乙醯氧基二矽烷- Example: 1,2-dimethyl-1,1,2,2-tetraethoxycarbonyldioxane

-R1 n (O(O)CR2 )3-n Si-O-SiR3 m (OR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;R4 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (O(O)CR 2 ) 3-n Si-O-SiR 3 m (OR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, linear or branched , saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated; R 2 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic Group, partially or fully fluorinated; R 4 may independently be C1 to C6, linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; n is 1 to 3 and m is 1 to 3.

-實例:1,3-二甲基-1-乙醯氧基-3-乙氧基二矽氧烷-Example: 1,3-Dimethyl-1-ethenyloxy-3-ethoxydioxanane

-R1 n (O(O)CR2 )3-n Si-SiR3 m (OR4 )3-m ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;R4 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且m為1~3。-R 1 n (O(O)CR 2 ) 3-n Si-SiR 3 m (OR 4 ) 3-m , wherein R 1 and R 3 may independently be H, C1 to C4, linear or branched, saturated , mono or polyunsaturated, cyclic, partially or fully fluorinated; R 2 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, Partially or fully fluorinated; R 4 may independently be C1 to C6, linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3 and m is 1 to 3.

-實例:1,2-二甲基-1-乙醯氧基-2-乙氧基二矽烷-Example: 1,2-Dimethyl-1-ethenyloxy-2-ethoxydioxane

-R1 n (OR2 )p (O(O)CR4 )4-(n+p) Si,其中R1 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;R4 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3且p為1~3。-R 1 n (OR 2 ) p (O(O)CR 4 ) 4-(n+p) Si, wherein R 1 may independently be H, C1 to C4, linear or branched, saturated, single or more Saturated, cyclic, partially or fully fluorinated; R 2 may independently be C1 to C6, linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; R 4 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3 and p is from 1 to 3 .

-實例:甲基乙醯氧基-第三丁氧基矽烷-Example: Methyl ethoxyl-tert-butoxy decane

-R1 n(OR2 )p (O(O)CR4 )3-n-p Si-O-SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R6 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;R4 和R5 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3,m為1~3,p為1~3且q為1~3。-R 1 n(OR 2 ) p (O(O)CR 4 ) 3-np Si-O-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , where R 1 and R 3 May independently H, C1 to C4, linear or branched, saturated, mono or polyunsaturated, cyclic, partially or fully fluorinated; R 2 and R 6 may independently be C1 to C6, linear or branched , saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; R 4 and R 5 may independently be H, C1 to C6, linear or branched, saturated, single or more Saturated, cyclic, aromatic, partially or fully fluorinated; n is from 1 to 3, m is from 1 to 3, p is from 1 to 3 and q is from 1 to 3.

-實例:1,3-二甲基-1,3-二乙醯氧基-1,3-二乙氧基二矽氧烷- Example: 1,3-dimethyl-1,3-diethoxycarbonyl-1,3-diethoxydioxane

-R1 n (OR2 )p (O(O)CR4)3-n-p Si-SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;R2 和R6 可以獨立地為C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;R4 和R5 可以獨立地為H,C1~C6,線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的;n為1~3,m為1~3,p為1~3且q為1~3。-R 1 n (OR 2 ) p (O(O)CR4) 3-np Si-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , wherein R 1 and R 3 may independently H, C1 to C4, linear or branched, saturated, mono or polyunsaturated, cyclic, partially or fully fluorinated; R 2 and R 6 may independently be C1 to C6, linear or branched, saturated , mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated; R 4 and R 5 may independently be H, C1 to C6, linear or branched, saturated, mono- or polyunsaturated, Cyclic, aromatic, partially or fully fluorinated; n is 1 to 3, m is 1 to 3, p is 1 to 3 and q is 1 to 3.

-實例:1,2-二甲基-1,2-二乙醯氧基-1,2-二乙氧基二矽烷- Example: 1,2-dimethyl-1,2-diethoxycarbonyl-1,2-diethoxydioxane

-式(OSiR1 R3 )x 的環狀矽氧烷,其中R1 和R3 可以獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的,且X可以為任意整數2~8。a cyclic oxirane of the formula (OSiR 1 R 3 ) x wherein R 1 and R 3 may independently be H, C 1 -C 4 , linear or branched, saturated, mono- or polyunsaturated, cyclic, part Or fully fluorinated, and X can be any integer 2-8.

-實例:1,3,5,7-四甲基環四矽氧烷,八甲基環四矽氧烷- Example: 1,3,5,7-tetramethylcyclotetraoxane, octamethylcyclotetraoxane

所有上述前驅物類別的附帶條件:1)將生孔劑加到反應混合物中,和2)利用硬化(例如退火)步驟從沉積膜中除去基本上全部包含的生孔劑以產生k<2.6。Included with all of the above precursor classes are: 1) adding a porogen to the reaction mixture, and 2) removing substantially all of the porogen contained from the deposited film by a hardening (e.g., annealing) step to produce k < 2.6.

上述前驅物可以與生孔劑混合或者具有連接的生孔劑,且可以與這些類別的其他分子和/或與相同類別但是其中n和/或m為0~3的分子混合。The precursors described above may be mixed with a porogen or have a porogen attached, and may be admixed with other molecules of these classes and/or with molecules of the same class but wherein n and/or m are 0-3.

-實例:TEOS,三乙氧基矽烷,二第三丁氧基矽烷,矽烷,二矽烷,二第三丁氧基二乙醯氧基矽烷等。- Examples: TEOS, triethoxydecane, di-t-butoxydecane, decane, dioxane, di-t-butoxydiethoxydecane and the like.

下列為表示適合用作依據本發明的獨特生孔劑的一些Si基前驅物的其他式子:The following are other formulas representing some of the Si-based precursors suitable for use as unique porogens in accordance with the present invention:

(a)式R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si,其中,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3且p為0~3;(a) Formula R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si, wherein R 1 is independently H or C1 to C4 linear or branched, saturated, mono- or a polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently C1 to C6 linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated a hydrocarbon; R 3 is independently H, a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 and p is 0 to 3;

(b)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-O-SiR3 m (O(O)CR5 )q (OR6 )3-m-q(b) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-O-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq ,

其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 和R6 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為0~3,q為0~3且p為0~3,前提是Wherein R 1 and R 3 are independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 and R 6 are independently C1 to C6 Linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbons; R 4 and R 5 are independently H, C1 to C6 linear or branched, saturated, mono- or a polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is 0 to 3, q is 0 to 3 and p is 0 to 3, provided that , with ;

(c)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 和R6 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為0~3,q為0~3且p為0~3,前提是(c) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , where R 1 and R 3 independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 and R 6 are independently C1 to C6 linear or branched, a saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; R 4 and R 5 are independently H, C1-C6 linear or branched, saturated, mono- or polyunsaturated, a cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is 0 to 3, q is 0 to 3, and p is 0 to 3, provided that , with ;

(d)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-R7 -SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 、R6 和R7 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為o~3,q為0~3且p為0~3,前提是(d) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-R 7 -SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , where R 1 and R 3 are independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 , R 6 and R 7 are independently C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; R 4 and R 5 are independently H, C1 to C6 linear or branched, saturated, single Or a polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is o to 3, q is 0 to 3 and p is 0 to 3, provided that , with ;

(e)式(R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si)t CH4-t ,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3,p為0~3且t為2~4,前提是(e) Formula (R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si) t CH 4-t , R 1 is independently H or C1 to C4 linear or branched a saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, moiety Or fully fluorinated hydrocarbon; R 3 is independently H, C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 , p is 0 to 3 and t is 2 to 4, provided that ;

(f)式(R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si)t NH3-t ,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3,p為0~3且t為1~3,前提是(f) Formula (R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si) t NH 3-t , R 1 is independently H or C1 to C4 linear or branched a saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, moiety Or fully fluorinated hydrocarbon; R 3 is independently H, C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 , p is 0 to 3 and t is 1 to 3, provided that ;

(g)式(OSiR1 R3 )x 的環狀矽氧烷,其中R1 和R3 獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的,且x可以為任意整數2~8;(g) a cyclic oxirane of the formula (OSiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic, Partially or completely fluorinated, and x can be any integer 2-8;

(h)式(NR1 SiR1 R3 )x 的環狀矽氮烷,其中R1 和R3 獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的,且x可以為任意整數2~8;和(h) a cyclic decazane of the formula (NR 1 SiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic Shaped, partially or fully fluorinated, and x may be any integer from 2 to 8;

(i)式(CR1 R3 SiR1 R3 )x 的環狀碳矽烷,其中R1 和R3 獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的;且x可以為任意整數2~8。(i) a cyclic carbosilane of the formula (CR 1 R 3 SiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, Cyclic, partially or fully fluorinated; and x can be any integer from 2 to 8.

雖然整個說明書中引用矽氧烷和二矽氧烷作為前驅物和生孔性前驅物,但是應當理解本發明並非限定於此,且其他矽氧烷如三矽氧烷和其他甚至更長的線性矽氧烷也是在本發明的範圍之內。Although oxime and dioxane are cited throughout the specification as precursors and porogenic precursors, it should be understood that the invention is not limited thereto, and other oxiranes such as trioxane and others are even longer linear. A siloxane is also within the scope of the invention.

上述前驅物可以與這些相同類別的其他分子和/或與相同類別但是其中n和/或m為0~3的分子混合。下列為適合用作依據本發明的生孔劑的材料的非限定性實例:The precursors described above may be admixed with other molecules of the same class and/or with molecules of the same class but wherein n and/or m are 0-3. The following are non-limiting examples of materials suitable for use as a porogen in accordance with the present invention:

1)通式Cn H2n 的環狀烴,其中n=4~14,其中該環狀結構中碳數目為4~10,且其中可以存在取代在該環狀結構之上的多個單一或支化烴。1) a cyclic hydrocarbon of the formula C n H 2n wherein n = 4 to 14, wherein the number of carbons in the cyclic structure is 4 to 10, and wherein a plurality of single or substituted substituents may be present Branched hydrocarbons.

實例包括:環己烷、三甲基環己烷、1-甲基-4(1-甲基乙基)環己烷、環辛烷、甲基環辛烷等。Examples include cyclohexane, trimethylcyclohexane, 1-methyl-4(1-methylethyl)cyclohexane, cyclooctane, methylcyclooctane, and the like.

2)通式Cn H(2n+2)-2y 的線性或支化、飽和、單或多不飽和的烴,其中n=2~20且其中y=0-n。2) Linear or branched, saturated, mono- or polyunsaturated hydrocarbons of the general formula C n H (2n+2)-2y , wherein n=2-20 and wherein y=0-n.

實例包括:乙烯、丙烯、乙炔、新己烷等。Examples include: ethylene, propylene, acetylene, neohexane, and the like.

3)通式Cn H2n-2x 的單或多不飽和的環狀烴,其中x為該分子中不飽和位置的數目,n二4~14,其中該環狀結構中碳數目為4~10,且其中可以存在取代在該環狀結構之上的多個單一或支化烴。不飽和度可以位於橋環之內或者環狀結構的一個烴取代基之上。3) a mono- or polyunsaturated cyclic hydrocarbon of the formula C n H 2n-2x , wherein x is the number of unsaturation sites in the molecule, n is from 4 to 14, wherein the number of carbons in the cyclic structure is 4 to 10, and wherein there may be a plurality of single or branched hydrocarbons substituted on the cyclic structure. Unsaturation can be located within the bridged ring or over a hydrocarbon substituent of the cyclic structure.

實例包括:環己烯、乙烯基環己烷、二甲基環己烯、第三丁基環己烯、α-萜品烯、蒎烯、1,5-二甲基-1,5-環辛二烯、乙烯基環己烯等。Examples include: cyclohexene, vinylcyclohexane, dimethylcyclohexene, tert-butylcyclohexene, α-terpinene, decene, 1,5-dimethyl-1,5-ring Octadiene, vinyl cyclohexene, and the like.

4)通式Cn H2n-2 的雙環烴,其中n=4~14,其中該雙環結構中碳數目為4~12,且其中可以存在取代在該環狀結構之上的多個單一或支化烴。4) a bicyclic hydrocarbon of the formula C n H 2n-2 wherein n = 4 to 14, wherein the number of carbons in the bicyclic structure is 4 to 12, and wherein a plurality of single or substituted substituents may be present Branched hydrocarbons.

實例包括:降莰烷、螺壬烷、十氫化萘等。Examples include: norbornane, spirodecane, decalin, and the like.

5)通式Cn H2n-(2+2x) 的多不飽和雙環烴,其中x為該分子中不飽和位置的數目,n=4~14,其中該雙環結構中碳數目為4~12,且其中可以存在取代在該環狀結構之上的多個單一或支化烴。不飽和度可以位於橋環之內或者環狀結構的一個烴取代基之上。5) a polyunsaturated bicyclic hydrocarbon of the formula C n H 2n-(2+2x) , wherein x is the number of unsaturation sites in the molecule, n=4-14, wherein the number of carbons in the bicyclic structure is 4-12 And wherein there may be a plurality of single or branched hydrocarbons substituted over the cyclic structure. Unsaturation can be located within the bridged ring or over a hydrocarbon substituent of the cyclic structure.

實例包括:莰烯、降冰片烯、降冰片二烯等。Examples include: terpenes, norbornene, norbornadiene, and the like.

6)通式Cn H2n-4 的三環烴,其中n=4~14,其中該三環結構中碳數目為4~12,且其中可以存在取代在該環狀結構之上的多個單一或支化烴。6) a tricyclic hydrocarbon of the formula C n H 2n-4 wherein n = 4 to 14, wherein the number of carbons in the tricyclic structure is 4 to 12, and wherein a plurality of substituents may be present on the cyclic structure Single or branched hydrocarbons.

實例包括:金剛烷。Examples include: adamantane.

依據本發明特別優選的生孔劑包括C4~C14環狀烴化合物。更優選地,該C4~C14環狀烴化合物具有非支化結構。最優選地,該C4~C14環狀烴化合物為非支化的且不飽和度等於或小於2。不飽和度定義為nC -nH /2+1,其中nc 和nH 分別為該分子中碳和氫原子的數目。如本文中使用的那樣,術語“非支化的”表示無末端側基且並不排除多環化合物的結構。Particularly preferred porogens in accordance with the present invention include C4 to C14 cyclic hydrocarbon compounds. More preferably, the C4 to C14 cyclic hydrocarbon compound has a non-branched structure. Most preferably, the C4 to C14 cyclic hydrocarbon compound is unbranched and has an unsaturation equal to or less than 2. Unsaturation is defined as n C -n H /2+1, where n c and n H are the number of carbon and hydrogen atoms in the molecule, respectively. As used herein, the term "unbranched" means having no terminal side groups and does not exclude the structure of the polycyclic compound.

依據本發明特別優選的生孔劑之中,更優選的生孔劑包括(1)非支化的C7~C10環狀烴化合物,諸如例如環辛二烯、降冰片二烯及其混合;(2)非支化的且不飽和度等於或小於2的C7~C10環狀烴化合物,諸如例如環辛烷、環庚烷、環辛烯、環庚烯、及其混合。申請人已令人吃驚地發現,採用依據本發明特別優選的生孔劑獲得至少兩個優點。Among the particularly preferred porogens according to the present invention, more preferred porogens include (1) unbranched C7-C10 cyclic hydrocarbon compounds such as, for example, cyclooctadiene, norbornadiene, and mixtures thereof; 2) C7-C10 cyclic hydrocarbon compounds which are unbranched and have an unsaturation equal to or less than 2, such as, for example, cyclooctane, cycloheptane, cyclooctene, cycloheptene, and mixtures thereof. Applicants have surprisingly found that at least two advantages are obtained with a particularly preferred porogen in accordance with the present invention.

第一個優點是,在具有低不飽和度的環狀烴作為生孔劑前驅物時,典型地獲得介電膜的最佳機械性能。依據本發明特別優選的生孔劑使得能夠在多孔膜中形成牢固的有機矽酸鹽網路。關於這一點,採用例如非支化的且不飽和度等於或小於2的C7~C10環狀烴化合物作為生孔劑前驅物,可以在多孔膜中提供更低的矽-甲基引入。這種Si-CH3 /Si-O物質的比例為該膜網路連通性的度量,且已顯示與膜模數直接相關。並不期望受到特定理論限制,具有更高不飽和度的環狀烴生孔劑前驅物典型地在電漿中具有更高電離能,其與OSG前驅物更加相近匹配。認為這樣容許有機矽烷前驅物的更大***,最終導致更少的甲基引入OSG網路。The first advantage is that the best mechanical properties of the dielectric film are typically obtained when a cyclic hydrocarbon having a low degree of unsaturation is used as a porogen precursor. A particularly preferred porogen in accordance with the present invention enables the formation of a strong organic citrate network in the porous membrane. In this regard, the use of a C7-C10 cyclic hydrocarbon compound such as unbranched and having an unsaturation equal to or less than 2 as a porogen precursor can provide a lower 矽-methyl introduction in the porous membrane. The ratio of such Si-CH 3 /Si-O species is a measure of the membrane network connectivity and has been shown to be directly related to the membrane modulus. Without wishing to be bound by a particular theory, a cyclic hydrocarbon porogen precursor having a higher degree of unsaturation typically has a higher ionization energy in the plasma, which more closely matches the OSG precursor. This is believed to allow for greater splitting of the organodecane precursor, ultimately resulting in less methylation into the OSG network.

利用依據本發明特別優選的環狀烴化合物作為生孔劑前驅物的另一優點在於,沉積在複合膜中的有機生孔劑材料的特性。不希望受到特定理論顯示,認為由環狀、優選非支化生孔劑前驅物(諸如例如環辛烷)沉積的聚乙烯類有機材料可以更容易地從膜中除去,且導致在硬化室之內吸收性殘留物的更少聚積。這樣可以降低清潔該室所需的時間且改進總生產量。Another advantage of using a particularly preferred cyclic hydrocarbon compound in accordance with the present invention as a porogen precursor is the nature of the organic porogen material deposited in the composite membrane. Without wishing to be bound by a particular theory, it is believed that a polyethylene-based organic material deposited from a cyclic, preferably unbranched porogen precursor, such as, for example, cyclooctane, can be more easily removed from the membrane and result in a hardened chamber. Less accumulation of internal absorbent residues. This can reduce the time required to clean the chamber and improve overall throughput.

例如,最通常地通過從透明視窗中UV曝露,從該OSG複合材料中除去依據本發明特別優選的生孔劑。通過UV曝露除去不穩定的生孔劑材料時,其一些部分沉積在透明視窗上且阻礙了所需的UV波長。由此,硬化製程的效率和UV室清潔的生產量取決於沉積在窗口上的吸收性物質的數量和類型。特別優選的生孔劑的去除,典型地比例如檸檬烯導致更少的UV信號阻塞。並不期望受到特定理論限制,認為利用環狀、優選非支化的烴化合物作為生孔劑導致在電漿聚合期間形成更高濃度的聚合物擴鏈物質和更少聚合物鏈終止物質,且由此形成有效引入複合膜之中的更多聚乙烯類有機材料。相反地,支化生孔劑如α-萜品烯可以在電漿聚合期間***為終止性甲基和丙基,在複合膜中產生更少期望的有機材料,其更低效率地引入如此沉積的膜中,更低效率地從膜中除去,且更低效率地從沉積和硬化室中清潔。下面實施例部分中闡述了這些優點。For example, a porogen particularly preferred in accordance with the present invention is most typically removed from the OSG composite by UV exposure from a transparent window. When the unstable porogen material is removed by UV exposure, some of its deposit is deposited on the transparent window and hinders the desired UV wavelength. Thus, the efficiency of the hardening process and the throughput of the UV chamber cleaning depend on the amount and type of absorbent material deposited on the window. The removal of a particularly preferred porogen typically results in less UV signal blockage than, for example, limonene. Without wishing to be bound by a particular theory, it is believed that the use of cyclic, preferably unbranched, hydrocarbon compounds as porogens results in the formation of higher concentrations of polymer chain extenders and less polymer chain terminators during plasma polymerization, and Thereby, more polyethylene-based organic materials which are effectively introduced into the composite film are formed. Conversely, branched porogens such as alpha-terpinene can be split into a terminating methyl and propyl during plasma polymerization, producing less desirable organic materials in the composite film, which introduces such deposition less efficiently The film is removed from the film more efficiently and cleaned from the deposition and hardening chambers more efficiently. These advantages are set forth in the Examples section below.

本發明進一步提供了將依據本發明所要求保護方法來利用的組合物。依據本發明的組合物優選地包括:The invention further provides compositions that will be utilized in accordance with the methods claimed herein. The composition according to the invention preferably comprises:

(A)(1)選自下列的至少一種前驅物:(A) (1) at least one precursor selected from the group consisting of:

(a)式R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si,其中,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3且p為0~3;(a) Formula R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si, wherein R 1 is independently H or C1 to C4 linear or branched, saturated, mono- or a polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently C1 to C6 linear or branched, saturated, mono or polyunsaturated, cyclic, aromatic, partially or fully fluorinated a hydrocarbon; R 3 is independently H, a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 and p is 0 to 3;

(b)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-O-SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 和R6 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為0~3,q為0~3且p為0~3,前提是(b) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-O-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , wherein R 1 And R 3 are independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 and R 6 are independently C1 to C6 linear or branched a saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; R 4 and R 5 are independently H, C1 to C6 linear or branched, saturated, mono- or polyunsaturated , cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is 0 to 3, q is 0 to 3 and p is 0 to 3, provided that , with ;

(c)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 和R6 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為0~3,q為0~3且p為0~3,前提是(c) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , where R 1 and R 3 independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 and R 6 are independently C1 to C6 linear or branched, a saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; R 4 and R 5 are independently H, C1-C6 linear or branched, saturated, mono- or polyunsaturated, a cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is 0 to 3, q is 0 to 3, and p is 0 to 3, provided that , with ;

(d)式R1 n (OR2 )p (O(O)CR4 )3-n-p Si-R7 -SiR3 m (O(O)CR5 )q (OR6 )3-m-q ,其中R1 和R3 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 、R6 和R7 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R4 和R5 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為0~3,m為0~3,q為0~3且p為0~3,前提是(d) Formula R 1 n (OR 2 ) p (O(O)CR 4 ) 3-np Si-R 7 -SiR 3 m (O(O)CR 5 ) q (OR 6 ) 3-mq , where R 1 and R 3 are independently H or C1 to C4 linear or branched, saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbons; R 2 , R 6 and R 7 are independently C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; R 4 and R 5 are independently H, C1 to C6 linear or branched, saturated, single Or a polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 0 to 3, m is 0 to 3, q is 0 to 3 and p is 0 to 3, provided that , with ;

(e)式(R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si)t CH4-t ,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3,p為0~3且t為2~4,前提是(e) Formula (R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si) t CH 4-t , R 1 is independently H or C1 to C4 linear or branched a saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, moiety Or fully fluorinated hydrocarbon; R 3 is independently H, C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 , p is 0 to 3 and t is 2 to 4, provided that ;

(f)式(R1 n (OR2 )p (O(O)CR3 )4-(n+p) Si)t NH3-t ,R1 獨立地為H或者C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;R2 獨立地為C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;R3 獨立地為H,C1~C6線性或支化、飽和、單或多不飽和的、環狀、芳族、部分或完全氟化的烴;n為1~3,p為0~3且t為1~3,前提是(f) Formula (R 1 n (OR 2 ) p (O(O)CR 3 ) 4-(n+p) Si) t NH 3-t , R 1 is independently H or C1 to C4 linear or branched a saturated, mono- or polyunsaturated, cyclic, partially or fully fluorinated hydrocarbon; R 2 is independently a C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, moiety Or fully fluorinated hydrocarbon; R 3 is independently H, C1 to C6 linear or branched, saturated, mono- or polyunsaturated, cyclic, aromatic, partially or fully fluorinated hydrocarbon; n is 1 to 3 , p is 0 to 3 and t is 1 to 3, provided that ;

(g)式(OSiR1 R3 )x 的環狀矽氧烷,其中R1 和R3 獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的,且x可以為任意整數2~8;(g) a cyclic oxirane of the formula (OSiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic, Partially or completely fluorinated, and x can be any integer 2-8;

(h)式(NR1 SiR1 R3 )x 的環狀矽氮烷,其中R1 和R3 獨立地為H,C1~C4,線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的,且x可以為任意整數2~8;和(h) a cyclic decazane of the formula (NR 1 SiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4, linear or branched, saturated, mono- or polyunsaturated, cyclic Shaped, partially or fully fluorinated, and x may be any integer from 2 to 8;

(i)式(CR1 R3 SiR1 R3 )x 的環狀碳矽烷,其中R1 和R3 獨立地為H,C1~C4線性或支化、飽和、單或多不飽和的、環狀、部分或完全氟化的烴;且x可以為任意整數2~8,和(i) a cyclic carbosilane of the formula (CR 1 R 3 SiR 1 R 3 ) x wherein R 1 and R 3 are independently H, C1 to C4 linear or branched, saturated, mono- or polyunsaturated, ring a partially, partially or fully fluorinated hydrocarbon; and x can be any integer from 2 to 8, and

(A)(2)不同於該至少一種前驅物的生孔劑,所述生孔劑為下列中的至少一種:(A) (2) A porogen different from the at least one precursor, the porogen being at least one of the following:

(a)至少一種具有環狀結構和式Cn H2n 的環狀烴,其中n=4~14,其中該環狀結構中碳數目為4~10,且該至少一種環狀烴任選地含有存在取代在該環狀結構之上的多個單一或支化烴;(a) at least one cyclic hydrocarbon having a cyclic structure and a formula C n H 2n wherein n = 4 to 14, wherein the number of carbons in the cyclic structure is 4 to 10, and the at least one cyclic hydrocarbon is optionally Containing a plurality of single or branched hydrocarbons present on the cyclic structure;

(b)至少一種通式Cn H(2n+2)-2y 的線性或支化、飽和、單或多不飽和的烴,其中n=2~20且其中y=0-n;(b) at least one linear or branched, saturated, mono- or polyunsaturated hydrocarbon of the formula C n H (2n+2)-2y , wherein n=2-20 and wherein y=0-n;

(c)至少一種具有環狀結構和式Cn H2n-2x 的單或多不飽和的環狀烴,其中x為不飽和位置的數目,n=4~14,該環狀結構中碳數目為4~10,且該至少一種單或多不飽和的環狀烴任選地含有取代在該環狀結構之上的多個單一或支化烴,且含有橋環不飽和度或者一個烴取代基之上的不飽和度;(c) at least one mono- or polyunsaturated cyclic hydrocarbon having a cyclic structure and a formula C n H 2n-2x , wherein x is the number of unsaturation sites, n = 4 to 14, the number of carbons in the cyclic structure Is 4 to 10, and the at least one mono- or polyunsaturated cyclic hydrocarbon optionally contains a plurality of single or branched hydrocarbons substituted on the cyclic structure and contains bridge ring unsaturation or a hydrocarbon substitution Unsaturation above the base;

(d)至少一種具有環狀結構和式Cn H2n-2 的雙環烴,其中n=4~14,該雙環結構中碳數目為4~12,且該至少一種雙環烴任選地含有取代在該雙環結構之上的多個單一或支化烴;(d) at least one bicyclic hydrocarbon having a cyclic structure and a formula C n H 2n-2 wherein n = 4 to 14, the number of carbons in the bicyclic structure is 4 to 12, and the at least one bicyclic hydrocarbon optionally contains a substitution a plurality of single or branched hydrocarbons above the bicyclic structure;

(e)至少一種具有雙環結構和式Cn H2n-(2+2x) 的多不飽和雙環烴,其中x為不飽和位置的數目,n=4~14,該雙環結構中碳數目為4~12,且該至少一種多不飽和雙環烴任選地含有取代在該雙環結構之上的多個單一或支化烴,且含有橋環不飽和度或者一個烴取代基之上的不飽和度;和/或(e) at least one polyunsaturated bicyclic hydrocarbon having a bicyclic structure and a formula C n H 2n-(2+2x) , wherein x is the number of unsaturation sites, n = 4 to 14, and the number of carbons in the bicyclic structure is 4 ~12, and the at least one polyunsaturated bicyclic hydrocarbon optionally contains a plurality of single or branched hydrocarbons substituted on the bicyclic structure and contains bridge ring unsaturation or unsaturation above a hydrocarbon substituent ;and / or

(f)至少一種具有三環結構和式Cn H2n-4 的三環烴,其中n=4~14,該三環結構中碳數目為4~12,且該至少一種三環烴任選地含有取代在該環狀結構之上的多個單一或支化烴。(f) at least one tricyclic hydrocarbon having a tricyclic structure and a formula C n H 2n-4 , wherein n = 4 to 14, the number of carbons in the tricyclic structure is 4 to 12, and the at least one tricyclic hydrocarbon is optional The ground contains a plurality of single or branched hydrocarbons substituted on the cyclic structure.

包含前驅物的組合物的一些實施方式中,該組合物優選地包括:(a)(i)至少一種選自下列的前驅物:二乙氧基甲基矽烷、二甲氧基甲基矽烷、二異丙氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、甲基三異丙氧基矽烷、甲基三第三丁氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、二甲基二異丙氧基矽烷、二甲基二第三丁氧基矽烷、1,3,5,7-四甲基環四矽氧烷、八甲基環四矽氧烷和四乙氧基矽烷,和(ii)不同於該至少一種前驅物的生孔劑,所述生孔劑為選自下列的成員:α-萜品烯、檸檬烯、環己烷、1,2,4-三甲基環己烷、1,5-二甲基-1,5-環辛二烯、莰烯、金剛烷、1,3-丁二烯、取代的二烯和十氫化萘;和/或In some embodiments of the composition comprising the precursor, the composition preferably comprises: (a) (i) at least one precursor selected from the group consisting of diethoxymethyl decane, dimethoxymethyl decane, Diisopropoxymethyl decane, di-tert-butoxymethyl decane, methyl triethoxy decane, methyl trimethoxy decane, methyl triisopropoxy decane, methyl tributoxide Base decane, dimethyl dimethoxy decane, dimethyl diethoxy decane, dimethyl diisopropoxy decane, dimethyl ditributyl decane, 1, 3, 5, 7- Tetramethylcyclotetraoxane, octamethylcyclotetraoxane, and tetraethoxydecane, and (ii) a porogen different from the at least one precursor, the porogen being selected from the group consisting of Members: α-terpinene, limonene, cyclohexane, 1,2,4-trimethylcyclohexane, 1,5-dimethyl-1,5-cyclooctadiene, terpene, adamantane, 1,3-butadiene, substituted diene and decalin; and/or

(b)(i)至少一種選自下列的前驅物:三甲基矽烷、四甲基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙醯氧基矽烷、甲基二乙醯氧基矽烷、甲基乙氧基二矽氧烷、四甲基環四矽氧烷、八甲基環四矽氧烷、二甲基二乙醯氧基矽烷、雙(三甲氧基甲矽烷基)甲烷、雙(二甲氧基甲矽烷基)甲烷、四乙氧基矽烷和三乙氧基矽烷,和(ii)α-萜品烯、γ-萜品烯、檸檬烯、二甲基己二烯、乙苯、十氫化萘、2-蒈烯、3-蒈烯、乙烯基環己烯和二甲基環辛二烯。(b) (i) at least one precursor selected from the group consisting of trimethyl decane, tetramethyl decane, diethoxymethyl decane, dimethoxymethyl decane, di-tert-butoxymethyl decane , methyl triethoxy decane, dimethyl dimethoxy decane, dimethyl diethoxy decane, methyl triethoxy decane, methyl diethoxy decane, methyl ethoxy Dioxane, tetramethylcyclotetraoxane, octamethylcyclotetraoxane, dimethyldiethoxydecane, bis(trimethoxyformamido)methane, bis(dimethoxy) Methane alkyl)methane, tetraethoxy decane and triethoxy decane, and (ii) α-terpinene, γ-terpinene, limonene, dimethylhexadiene, ethylbenzene, decalin, 2-decene, 3-decene, vinylcyclohexene and dimethylcyclooctadiene.

一些實施方式中,該組合物優選地包括:包含下列的組合物:(a)(i)至少一種選自下列的前驅物:二乙氧基甲基矽烷、二甲氧基甲基矽烷、二-異丙氧基甲基矽烷、二-第三丁氧基甲基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、甲基三-異丙氧基矽烷、甲基三-第三丁氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、二甲基二-異丙氧基矽烷、二甲基二-第三丁氧基矽烷、和四乙氧基矽烷、三甲基矽烷、四甲基矽烷、二乙氧基甲基矽烷、二甲氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基三乙醯氧基矽烷、甲基二乙醯氧基矽烷、甲基乙氧基二矽氧烷、四甲基環四矽氧烷、八甲基環四矽氧烷、二甲基二乙醯氧基矽烷、雙(三甲氧基甲矽烷基)甲烷、雙(二甲氧基甲矽烷基)甲烷、四乙氧基矽烷、三乙氧基矽烷、1,1,33-四甲基-1,3-二矽雜環丁烷、1,1,3,3-四乙氧基-1,3-二矽雜環丁烷、1,3-二甲基-1,3-二乙氧基-1,3-二矽雜環丁烷、1,3-二乙醯氧基-1,3-甲基-1,3-二矽雜環丁烷、1,1,3,3-四乙醯氧基-1,3-二矽雜環丁烷、1,3-二矽雜丁烷、1,1,1,3,3,3-六甲氧基-1,3-二矽雜丙烷、1,1,1,3,3,3-六乙氧基-1,3-二矽雜丙烷、1,3-二矽雜丙烷、1,1,1-四甲氧基-1,3-二矽雜丙烷、1,1,1,3,3,3-六乙醯氧基-1,3-二矽雜丙烷、1,1,1-四乙氧基-1,3-二矽雜丙烷、1,3-二矽雜環丁烷、1,3-二乙氧基-1,3-二矽雜丁烷;1,3-二乙氧基-1-甲基-1,3-二矽雜丁烷、1,1,3,3-四乙氧基-1-甲基-1,3-二矽雜丁烷、1,1,3,3-四甲氧基-1-甲基-1,3-二矽雜丁烷、1,1,3,3-四乙醯氧基-1-甲基-1,3-二矽雜丁烷,及其混合,和(ii)不同於該至少一種前驅物的生孔劑,所述生孔劑為選自下列的成員:環辛烯、環庚烯、環辛烷、環辛二烯、環庚烷、環庚二烯、環庚三烯,及其混合。In some embodiments, the composition preferably comprises: (a) (i) at least one precursor selected from the group consisting of diethoxymethyl decane, dimethoxymethyl decane, two -isopropoxymethyl decane, di-t-butoxymethyl decane, methyl triethoxy decane, methyl trimethoxy decane, methyl tri-isopropoxy decane, methyl tri- Tributoxydecane, dimethyldimethoxydecane, dimethyldiethoxydecane, dimethyldi-isopropoxydecane, dimethyldi-tert-butoxydecane, and tetraethyl Oxy decane, trimethyl decane, tetramethyl decane, diethoxy methyl decane, dimethoxy methyl decane, di-t-butoxymethyl decane, methyl triethoxy decane, dimethyl Dimethoxy decane, dimethyl diethoxy decane, methyl triethoxy decane, methyl diethoxy decane, methyl ethoxy dioxane, tetramethylcyclotetramethylene Oxyalkane, octamethylcyclotetraoxane, dimethyldiethoxydecane, bis(trimethoxyformamido)methane, bis(dimethoxycarbinyl)methane, tetraethoxydecane , triethoxy decane, 1,1,33-tetramethyl-1,3-dioxetane, 1,1,3,3-tetraethoxy-1,3-dioxetane Alkane, 1,3-dimethyl-1,3-diethoxy-1,3-dioxetane, 1,3-diethyloxy-1,3-methyl-1,3 - Dioxetane, 1,1,3,3-tetraethyloxy-1,3-dioxetane, 1,3-dioxane, 1,1,1,3 , 3,3-hexamethoxy-1,3-dioxapropane, 1,1,1,3,3,3-hexaethoxy-1,3-dioxane, 1,3-dioxane Heteropropane, 1,1,1-tetramethoxy-1,3-dioxane, 1,1,1,3,3,3-hexaethoxy-1,3-dioxapropane, 1,1,1-tetraethoxy-1,3-dioxapropane, 1,3-dioxetane, 1,3-diethoxy-1,3-dioxane; 1,3-Diethoxy-1-methyl-1,3-dioxane, 1,1,3,3-tetraethoxy-1-methyl-1,3-dioxan Alkane, 1,1,3,3-tetramethoxy-1-methyl-1,3-dioxane, 1,1,3,3-tetraethoxy-1-yl-1 , 3-dioxabutane, and mixtures thereof, and (ii) a porogen different from the at least one precursor, the porogen being a member selected from the group consisting of cyclooctene, cycloheptene, and ring Octane, cyclooctadiene, cycloheptane, cycloheptadiene Cycloheptatriene, and mixtures thereof.

本發明的組合物可以進一步包括,例如至少一種可加壓的容器(優選不銹鋼的),該容器裝有適當的閥門和容許將生孔劑、未生孔性前驅物和/或生孔性前驅物釋放到製程反應器的裝備。可以將該容器的內容物預先混合。替換地,生孔劑和前驅物可以保存在單獨的容器中,或者具有用於保持該生孔劑和前驅物在儲存期間分開的分離設備的單一容器中。這種容器也可以具有用於在期望的時候混合生孔劑和前驅物的設備。The composition of the present invention may further comprise, for example, at least one pressurizable container (preferably of stainless steel) fitted with a suitable valve and permitting the production of a porogen, a non-porous precursor and/or a porogen precursor. The equipment released into the process reactor. The contents of the container can be premixed. Alternatively, the porogen and precursor may be stored in a separate container or in a single container for holding the separation device separating the porogen and precursor during storage. Such a container may also have means for mixing the porogen and precursor at the desired time.

通過硬化步驟從初步(或如此沉積的)膜中除去生孔劑,其可以包括熱退火、曝露於紫外輻射、化學處理、原位或遠端電漿處理、光硬化和/或微波處理。其他原位或沉積後處理可以用於增強材料性能,如硬度、穩定性(對於收縮、空氣曝露、蝕刻、水蝕刻等)、完整性、均勻性和粘合力。這些處理可以在生孔劑去除之前、之中和/或之後應用於該膜,利用與用於生孔劑處理相同或不同的設備。由此,本文中使用的術語“後處理”表示利用能量(例如熱、電漿、光子、電子、微波等)或化學品處理膜以除去生孔劑和,任選地增強材料性能。The porogen is removed from the preliminary (or thus deposited) film by a hardening step, which may include thermal annealing, exposure to ultraviolet radiation, chemical treatment, in situ or remote plasma treatment, photohardening, and/or microwave treatment. Other in situ or post-deposition treatments can be used to enhance material properties such as hardness, stability (for shrinkage, air exposure, etching, water etching, etc.), integrity, uniformity, and adhesion. These treatments can be applied to the film before, during, and/or after removal of the porogen, using the same or a different equipment as used for the porogen treatment. Thus, the term "post-treatment" as used herein refers to treating a film with energy (eg, heat, plasma, photons, electrons, microwaves, etc.) or chemicals to remove the porogen and, optionally, enhance material properties.

進行後處理時的條件可以寬泛地變化。例如,可以在高壓下或在真空環境下進行後處理。The conditions at the time of post-processing can vary widely. For example, post treatment can be carried out under high pressure or in a vacuum environment.

在如下條件下進行退火。Annealing was carried out under the following conditions.

環境可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、空氣、稀釋氧氣環境、富集氧氣環境、臭氧、一氧化二氮等)或還原的(稀釋或濃縮氫氣、烴(飽和的、不飽和的、線性或支化的、芳烴)等)。壓力優選為約1托~約1000托,更優選大氣壓。但是,真空環境也能夠用於熱退火以及任意其他後處理方式。溫度優選為200~500℃,且溫度坡速為0.1~100℃/min。總退火時間優選為0.01min~12小時。The environment can be inert (such as nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (such as oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, oxidized two Nitrogen or the like) or reduced (diluted or concentrated hydrogen, hydrocarbon (saturated, unsaturated, linear or branched, aromatic), etc.). The pressure is preferably from about 1 Torr to about 1000 Torr, more preferably atmospheric pressure. However, the vacuum environment can also be used for thermal annealing and any other post-treatment methods. The temperature is preferably 200 to 500 ° C, and the temperature ramp rate is 0.1 to 100 ° C / min. The total annealing time is preferably from 0.01 min to 12 hours.

在如下條件下進行OSG膜的化學處理。The chemical treatment of the OSG film was carried out under the following conditions.

採用氟化(HF、SIF4 、NF3 、F2 、COF2 、CO2 F2 等)、氧化(H2 O2 、O3 等)、化學乾燥、甲基化、或其他化學處理,增強最終材料的性能。這些處理中採用的化學品可以是固態、液態、氣態和/或超臨界流體狀態的。Enhanced by fluorination (HF, SIF 4 , NF 3 , F 2 , COF 2 , CO 2 F 2 , etc.), oxidation (H 2 O 2 , O 3 , etc.), chemical drying, methylation, or other chemical treatments The performance of the final material. The chemicals employed in these treatments can be in solid, liquid, gaseous, and/or supercritical fluid states.

在如下條件下進行用於從有機矽酸鹽膜中選擇性除去生孔劑的超臨界流體後處理。The supercritical fluid post treatment for selectively removing the porogen from the organic phthalate film was carried out under the following conditions.

流體可以是二氧化碳、水、一氧化二氮、乙烯、SF6 、和/或其他類型的化學品。可以將其他化學品加到該超臨界流體中以增強該過程。該化學品可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、臭氧、一氧化二氮等)或還原的(例如稀釋或濃縮的烴、氫氣等)。溫度優選為室溫到500℃。該化學品也可以包括較大的化學物質如界面活性劑。總曝露時間優選為0.01min~12小時。The fluid can be carbon dioxide, water, nitrous oxide, ethylene, SF 6, and / or other types of chemicals. Other chemicals may be added to the supercritical fluid to enhance the process. The chemical can be inert (eg nitrogen, CO 2 , noble gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (eg oxygen, ozone, nitrous oxide, etc.) or reduced (eg diluted) Or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from room temperature to 500 °C. The chemical may also include larger chemicals such as surfactants. The total exposure time is preferably from 0.01 min to 12 hours.

在如下條件下進行用於選擇性除去不穩定基團和可能地化學改性OSG膜的電漿處理。The plasma treatment for selectively removing unstable groups and possibly chemically modifying the OSG film was carried out under the following conditions.

環境可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、空氣、稀釋氧氣環境、富集氧氣環境、臭氧、一氧化二氮等)或還原的(稀釋或濃縮氫氣、烴(飽和的、不飽和的、線性或支化的、芳烴)等)。電漿功率優選為0~5000W。溫度優選為室溫到500℃。壓力優選為10毫托到大氣壓。總硬化時間優選為0.01min~12小時。The environment can be inert (such as nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (such as oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, oxidized two Nitrogen or the like) or reduced (diluted or concentrated hydrogen, hydrocarbon (saturated, unsaturated, linear or branched, aromatic), etc.). The plasma power is preferably 0 to 5000 W. The temperature is preferably from room temperature to 500 °C. The pressure is preferably from 10 mTorr to atmospheric pressure. The total hardening time is preferably from 0.01 min to 12 hours.

在如下條件下進行用於從有機矽酸鹽膜中選擇性除去生孔劑的光硬化。Photohardening for selective removal of the porogen from the organic phthalate film was carried out under the following conditions.

環境可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、空氣、稀釋氧氣環境、富集氧氣環境、臭氧、一氧化二氮等)或還原的(稀釋或濃縮的烴、氫氣等)。溫度優選為室溫到500℃。功率優選為0~5000W。波長優選為IR、可見、UV或深UV(波長小於200nm)。總硬化時間優選為0.01min~12小時。The environment can be inert (such as nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (such as oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, oxidized two Nitrogen, etc. or reduced (diluted or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from room temperature to 500 °C. The power is preferably 0 to 5000 W. The wavelength is preferably IR, visible, UV or deep UV (wavelength less than 200 nm). The total hardening time is preferably from 0.01 min to 12 hours.

在如下條件下進行用於從有機矽酸鹽膜中選擇性除去生孔劑的微波後處理。Microwave post treatment for selective removal of the porogen from the organic phthalate film was carried out under the following conditions.

環境可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、空氣、稀釋氧氣環境、富集氧氣環境、臭氧、一氧化二氮等)或還原的(稀釋或濃縮的烴、氫氣等)。溫度優選為室溫到500℃。功率和波長依據具體鍵合進行變化和可調。總硬化時間優選為0.01min~12小時。The environment can be inert (such as nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (such as oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, oxidized two Nitrogen, etc. or reduced (diluted or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from room temperature to 500 °C. Power and wavelength are varied and adjustable depending on the specific bond. The total hardening time is preferably from 0.01 min to 12 hours.

在如下條件下進行用於從有機矽酸鹽膜中選擇性除去生孔劑或具體化學物質和/或改進膜性能的電子束後處理。Electron beam post-treatment for selective removal of porogens or specific chemicals from organic phthalate films and/or improved film properties is carried out under the following conditions.

環境可以是惰性的(例如氮氣、CO2 、稀有氣體(He、Ar、Ne、Kr、Xe)等)、氧化的(例如氧氣、空氣、稀釋氧氣環境、富集氧氣環境、臭氧、一氧化二氮等)或還原的(稀釋或濃縮的烴、氫氣等)。溫度優選為室溫到500℃。電子密度和功率可以依據具體鍵合進行變化和可調。總硬化時間優選為0.001min~12小時,且可以是連續或脈衝的。文獻如S. Chattopadhyay等,Journal of Materials Science,36(2001)4323-4330、G. Rloster等,Proceedings of IITC,June 3-5,2002,SF,CA,和US6,207,555 B1、6,204,201 B1和6,132,814 A1中可獲得關於電子束一般使用的其他教導。電子束後處理的使用可以提供生孔劑去除和通過基質中鍵合-形成過程提供膜機械性能的增強。The environment can be inert (such as nitrogen, CO 2 , rare gases (He, Ar, Ne, Kr, Xe), etc.), oxidized (such as oxygen, air, diluted oxygen environment, enriched oxygen environment, ozone, oxidized two Nitrogen, etc. or reduced (diluted or concentrated hydrocarbons, hydrogen, etc.). The temperature is preferably from room temperature to 500 °C. Electron density and power can be varied and adjusted depending on the specific bond. The total hardening time is preferably from 0.001 min to 12 hours and may be continuous or pulsed. Literature such as S. Chattopadhyay et al, Journal of Materials Science, 36 (2001) 4323-4330, G. Rloster et al, Proceedings of IITC, June 3-5, 2002, SF, CA, and US 6,207,555 B1, 6, 204, 201 B1 and 6,132,814 Other teachings regarding the general use of electron beams are available in A1. The use of electron beam post-treatment can provide porogen removal and provide enhanced mechanical properties of the film through the bond-forming process in the matrix.

本發明將參照下列實施例更詳細地進行描述,但是應當理解本發明並非在於限定於此。The invention will be described in more detail with reference to the following examples, but it should be understood that the invention is not limited thereto.

實施例Example

所有實驗在Applied Materials Precision-5000系統上進行,在裝有Advance Energy 2000 rf產生器的200mm D×Z室內,利用未摻雜的TEOS製程套件。制法包括如下基本步驟:氣流的初始設置和穩定化,沉積、和晶片去除之前室吹掃/抽空。使膜在425℃管式爐中在N2 下退火4小時。All experiments were performed on an Applied Materials Precision-5000 system using an undoped TEOS process kit in a 200 mm D x Z chamber equipped with an Advance Energy 2000 rf generator. The process consists of the following basic steps: initial setup and stabilization of the gas stream, deposition, and chamber purge/vacuum before wafer removal. The film was annealed in a 425 ° C tube furnace under N 2 for 4 hours.

在SCI Filmtek 2000 Reflectometer上測量厚度和折射率。利用Hg電極技術在低靈敏p型晶片(<0.02ohm-cm)上測量介電常數。利用MTS Nano Indenter測量機械性能。通過在Thermo TA Instruments 2050 TGA之上熱重分析來測量熱穩定性和廢氣產物。通過X射線光電子光譜(XPS)在Physical Electronics 5000LS之上獲得組成資料。表中報導的原子%數值並不包括氫。Thickness and refractive index were measured on a SCI Filmtek 2000 Reflectometer. The dielectric constant was measured on a low sensitive p-type wafer (<0.02 ohm-cm) using Hg electrode technology. Mechanical properties were measured using an MTS Nano Indenter. Thermal stability and off-gas products were measured by thermogravimetric analysis on a Thermo TA Instruments 2050 TGA. Composition data was obtained by X-ray photoelectron spectroscopy (XPS) on top of Physical Electronics 5000LS. The atomic % values reported in the table do not include hydrogen.

選擇三條路線在OSG膜中引入孔隙度。研究第一條路線以製得低k(k小於2.6)膜,通過電漿增強的化學氣相沉積(PECVD)與OSG一起將熱不穩定的有機寡聚物共沉積為生孔劑,並隨後在熱退火步驟中除去該寡聚物後沉積。Three routes were chosen to introduce porosity into the OSG film. The first route was studied to produce a low-k (k less than 2.6) film, which was co-deposited with OSG as a porogen by plasma enhanced chemical vapor deposition (PECVD) with OSG, and subsequently The oligomer is removed after removal in the thermal annealing step.

實施例1AExample 1A

通過PECVD在無氧化劑的環境中將α-萜品烯(ATP)與二乙氧基甲基矽烷(DEMS)共沉積在矽片上。製程條件為ATP在DEMS中的39.4%(體積)混合物的700mg/min(mgm)流。500sccm的CO2 載氣流用於護送化學品進入沉積室。其他製程條件如下:室壓5托,晶片夾溫度150℃,蓮蓬頭到晶片間距0.26英寸,和電漿功率300瓦特持續180秒時間段。如此沉積的膜的厚度為650nm且介電常數為2.8。使該膜在425℃下氮氣下退火4小時以除去基本上全部引入的ATP,通過XPS證實。圖1顯示了退火之前(細線)和之後(粗線)的紅外光譜,表明了生孔劑的消除。退火膜的厚度為492nm且介電常數為2.4(參見下表2)。圖4顯示了該膜的熱重分析,證實了熱處理期間發生的重量損失。Alpha-terpinene (ATP) was co-deposited on the crepe sheet with PE under an oxidant-free environment with diethoxymethyl decane (DEMS). The process conditions were a 700 mg/min (mgm) stream of a 39.4% by volume mixture of ATP in DEMS. A 500 sccm CO 2 carrier gas stream is used to escort chemicals into the deposition chamber. Other process conditions were as follows: chamber pressure of 5 Torr, wafer clamp temperature of 150 ° C, shower head to wafer spacing of 0.26 inches, and plasma power of 300 watts for a period of 180 seconds. The film thus deposited had a thickness of 650 nm and a dielectric constant of 2.8. The film was annealed at 425 ° C for 4 hours under nitrogen to remove substantially all of the introduced ATP, as confirmed by XPS. Figure 1 shows the infrared spectrum before (thin line) and after (thick line) annealing, indicating the elimination of the porogen. The annealed film had a thickness of 492 nm and a dielectric constant of 2.4 (see Table 2 below). Figure 4 shows the thermogravimetric analysis of the film confirming the weight loss that occurred during the heat treatment.

實施例1BExample 1B

通過PECVD在無氧化劑的環境中將ATP與DEMS共沉積在矽片上。製程條件為ATP在DEMS中的70%(體積)混合物的1300mg/min(mgm)流。500sccm的CO2 載氣流用於夾帶化學品到沉積室的氣流之中。其他製程條件如下:室壓8托,晶片夾溫度200℃,蓮蓬頭到晶片間距0.30英寸,和電漿功率600瓦特持續120秒時間段。如此沉積的膜的厚度為414nm且介電常數為2.59。使該膜在425℃下氮氣下退火4小時以除去基本上全部引入的ATP。退火膜的厚度為349nm且介電常數為2.14(參見下表2)。ATP and DEMS were co-deposited on the enamel by PECVD in an oxidant-free environment. The process conditions are a 1300 mg/min (mgm) stream of a 70% by volume mixture of ATP in DEMS. A 500 sccm CO 2 carrier gas stream is used to entrain the gas into the deposition chamber. Other process conditions were as follows: chamber pressure 8 Torr, wafer clamp temperature 200 ° C, shower head to wafer spacing 0.30 inches, and plasma power 600 watts for 120 seconds. The film thus deposited had a thickness of 414 nm and a dielectric constant of 2.59. The film was annealed at 425 ° C for 4 hours under nitrogen to remove substantially all of the introduced ATP. The annealed film had a thickness of 349 nm and a dielectric constant of 2.14 (see Table 2 below).

實施例1CExample 1C

充分依據實施例1A製備膜並進行退火,除了在400℃還原溫度下進行退火。圖2中顯示了所獲膜的紅外光譜,包括波數。圖3中顯示了用於對照的生孔劑、ATP的紅外光譜。The film was prepared in accordance with Example 1A and annealed except that annealing was carried out at a reduction temperature of 400 °C. The infrared spectrum of the obtained film is shown in Figure 2, including the wave number. The infrared spectrum of the porogen, ATP for the control is shown in Figure 3.

實施例1D(對照)Example 1D (control)

充分依據實施例1A製備膜並進行退火,除了不使用製冷劑。該膜的介電常數為2.8,且組成基本上等同於實施例1A的退火膜(參見下表1和2)。The film was prepared in accordance with Example 1A and annealed except that no refrigerant was used. The film had a dielectric constant of 2.8 and the composition was substantially identical to the annealed film of Example 1A (see Tables 1 and 2 below).

實施例1E(對照)Example 1E (control)

充分依據實施例1D製備膜並進行退火,除了電漿功率為400瓦特。該膜的介電常數為2.8,且組成基本上等同於實施例1A的退火膜(參見下表1和2)。The film was prepared in accordance with Example 1D and annealed except that the plasma power was 400 watts. The film had a dielectric constant of 2.8 and the composition was substantially identical to the annealed film of Example 1A (see Tables 1 and 2 below).

實施例1FExample 1F

充分依據實施例1A製備膜並進行退火,除了製程條件為α-萜品烯(ATP)在二第三丁氧基矽烷(DtBOMS)中的75%(體積)混合物的1000mg/min(mgm)流。500sccm的CO2 載氣流用於夾帶化學品到沉積室之中。其他製程條件如下:室壓7托,晶片夾溫度215℃,蓮蓬頭到晶片間距0.30英寸,和電漿功率400瓦特持續240秒時間段。如此沉積的膜的厚度為540nm且介電常數為2.8。使該膜在425℃下氮氣下退火4小時以除去基本上全部引入的ATP。退火膜的厚度為474nm且介電常數為2.10。模數和硬度分別為0.18GPa和2.23。The film was prepared in accordance with Example 1A and annealed except that the process conditions were a 1000 mg/min (mgm) stream of a 75% by volume mixture of alpha-terpinene (ATP) in di-t-butoxydecane (DtBOMS). . A 500 sccm CO 2 carrier gas stream was used to entrain the chemicals into the deposition chamber. Other process conditions were as follows: chamber pressure of 7 Torr, wafer clamp temperature of 215 ° C, shower head to wafer spacing of 0.30 inches, and plasma power of 400 watts for a period of 240 seconds. The film thus deposited had a thickness of 540 nm and a dielectric constant of 2.8. The film was annealed at 425 ° C for 4 hours under nitrogen to remove substantially all of the introduced ATP. The annealed film had a thickness of 474 nm and a dielectric constant of 2.10. The modulus and hardness were 0.18 GPa and 2.23, respectively.

實施例1GExample 1G

通過PECVD在無氧化劑的環境中將ATP與DtBOMS共沉積在矽片上。製程條件為ATP在DtBOMS中的75%(體積)混合物的700mg/min(mgm)流。500sccm的CO2 載氣流用於夾帶化學品到沉積室之中。其他製程條件如下:室壓9托,晶片夾溫度275℃,蓮蓬頭到晶片間距0.30英寸,和電漿功率600瓦特持續240秒時間段。如此沉積的膜的厚度為670nm且介電常數為2.64。使該膜在425℃下氮氣下退火4小時以除去基本上全部引入的ATP。退火膜的厚度為633nm且介電常數為2.19。模數和硬度分別為0.44GPa和3.40。ATP and DtBOMS were co-deposited on the enamel by PECVD in an oxidant-free environment. The process conditions were a 700 mg/min (mgm) stream of a 75% by volume mixture of ATP in DtBOMS. A 500 sccm CO 2 carrier gas stream was used to entrain the chemicals into the deposition chamber. Other process conditions were as follows: chamber pressure of 9 Torr, wafer clamp temperature of 275 ° C, shower head to wafer spacing of 0.30 inches, and plasma power of 600 watts for a period of 240 seconds. The film thus deposited had a thickness of 670 nm and a dielectric constant of 2.64. The film was annealed at 425 ° C for 4 hours under nitrogen to remove substantially all of the introduced ATP. The annealed film had a thickness of 633 nm and a dielectric constant of 2.19. The modulus and hardness are 0.44 GPa and 3.40, respectively.

實施例2Example 2

研究以製備低k(k小於2.6)膜的第三條路線是,將有機矽前驅物與具有連接於其上的熱不穩定的大基團的矽石前驅物進行物理混合。為了證實這種路線的效力,將糠麩氧基二甲基矽烷(fufuroxydimethylsilane)與TMCTS在如下條件下共沉積:糠麩氧基二甲基矽烷在TMCTS中的11%混合物的1000mgm流和500Sccm的He或氣流,室壓6托,晶片夾溫度150℃,蓮蓬頭到晶片間距0.26英寸,和電漿功率300瓦特持續40秒時間段。如此沉積的膜的厚度為1220nm且介電常數為3.0。在如此沉積的膜中通過FTIR證實了包含糠麩氧基。在氮氣中400℃下熱後處理1小時之後,k降低到2.73。同樣這種情形下,甚至在熱退火之後仍存在顯著部分的引入的糠麩氧基基團。A third route to study the preparation of a low k (k less than 2.6) film is to physically mix the organic germanium precursor with a vermiculite precursor having a thermally unstable large group attached thereto. To confirm the effectiveness of this route, fufuroxydimethylsilane was co-deposited with TMCTS under the following conditions: a 10 mg mixture of glutaroxy dimethyl decane in TMCTS at 1000 mgm flow and 500 sccm He or air flow, chamber pressure 6 Torr, wafer clamp temperature 150 ° C, shower head to wafer spacing 0.26 inches, and plasma power 300 watts for 40 seconds period. The film thus deposited had a thickness of 1220 nm and a dielectric constant of 3.0. The inclusion of a branoxy group was confirmed by FTIR in the thus deposited film. After 1 hour of hot work at 400 ° C in nitrogen, k was reduced to 2.73. Also in this case, a significant portion of the introduced glutenoxy groups are present even after thermal annealing.

前述實施例表明了在如此沉積的膜中引入多種官能團的能力,且更關鍵地生孔劑的適當選擇的重要性以能使材料k小於2.6。利用這些路線,各種其他生孔劑也可以發揮作用。為了提供k小於2.6的最佳低介電常數材料,需要良好網路形成的有機矽烷/有機矽氧烷前驅物,其可以在OSG網路中提供適當類型和數量的有機基團引入。優選使用無需加入氧化劑以製得OSG膜的網路形成的前驅物。在使用基於烴的孔隙形成前驅物(其易受到氧化的影響)時這點是特別重要的。氧化可以導致沉積期間孔隙形成劑的顯著改性,可能妨礙其隨後在退火過程期間被除去的能力。The foregoing examples demonstrate the ability to introduce a variety of functional groups in the thus deposited film, and more critically, the importance of proper selection of the porogen to enable material k to be less than 2.6. Using these routes, various other porogens can also function. In order to provide an optimum low dielectric constant material with k less than 2.6, a good network formed organodecane/organooxylane precursor is required which can provide the appropriate type and amount of organic group introduction in the OSG network. It is preferred to use a precursor formed by a network which does not require the addition of an oxidizing agent to produce an OSG film. This is especially important when using hydrocarbon-based pores to form precursors that are susceptible to oxidation. Oxidation can result in significant modification of the pore former during deposition, which may hinder its ability to be subsequently removed during the annealing process.

表2.膜性能資料Table 2. Membrane performance data

如此沉積的和N2 熱後處理過的DEMS/ATP膜的IR光譜對照顯示,惰性氣氛中熱後處理對於選擇性除去生孔劑和保持OSG晶格是成功的。熱處理之後在1275cm-1 處Si-CH3 吸收基本上無變化(Si-CH3 與OSG網路相關)。但是,在3000cm-1 附近看到C-H吸收的顯著降低,表明已除去了基本上全部與ATP相關的碳。圖3中顯示了用作參照的ATP的IR光譜。這種退火的另一優點似乎是在2240和2170cm-1 處Si-H吸收的顯著降低,其應賦予該膜更大疏水性。由此,本發明的一些實施方式中,該膜的每個Si原子鍵合於不大於1個H原子。但是,其他實施方式中,鍵合於Si原子的H原子數並非限定於此。As-deposited and N 2 thermal post-treated DEMS / IR spectral contrast ATP films shows that thermal post-treatment in an inert atmosphere for selective removal of porogen and retention of the OSG lattice is successful. There was substantially no change in Si-CH 3 absorption at 1275 cm -1 after heat treatment (Si-CH 3 is associated with the OSG network). However, a significant decrease in CH uptake was seen around 3000 cm -1 indicating that substantially all of the ATP-related carbon has been removed. The IR spectrum of the ATP used as a reference is shown in FIG. Another advantage of this anneal appears to be a significant decrease in Si-H absorption at 2240 and 2170 cm -1 which should impart greater hydrophobicity to the film. Thus, in some embodiments of the invention, each Si atom of the film is bonded to no more than one H atom. However, in other embodiments, the number of H atoms bonded to Si atoms is not limited thereto.

組成分析表明,在425℃下退火4小時之後(實施例1A)的DEMS-ATP膜具有與以相同方式沉積和退火的DEMS膜(實施例1D)基本上相同的組成。退火之前DEMS-ATP表明該膜中基本上更大數量的基於碳的材料(IR分析支持了這種基於碳的材料非常類似於ATP一參見圖3)。這點支持了在與ATP共沉積時引入DEMS膜的生孔劑材料通過熱後處理製程已基本上完全除去的斷言。熱重分析(圖4)進一步表明,在加熱到高於350℃的溫度時存在明顯的如此沉積的材料的重量損失,這點是退火期間生孔劑去除的另一證據。在去除生孔劑時一些部分OSG網路的坍塌同樣導致觀察到的膜收縮。但是,幾乎不存在來自OSG網路的有機基團損失,即DEMS之內的末端甲基大部分得以保持(參見圖1中所示對於DEMS膜在熱處理之前和之後的XPS資料)。這點得到IR光譜中在~1275波數處相對相當的Si-CH3 帶的支持。IR光譜中缺少Si-OH基團證明了這種材料的疏水性。退火之後膜的折射率和介電常數的降低表明,它們比預退火膜更鬆散,儘管膜厚度降低。陽電子湮沒壽命光譜(PALS)表明實施例1A、1B、和1F的孔徑範圍為~1.5nm當量球徑。另外,不同於Grill等的工作(引言部分提及的),伴隨組成變化的厚度損失分析(實施例1A)表明,OSG網路在退火期間得以保持且並非明顯退化。The composition analysis showed that the DEMS-ATP film after annealing at 425 ° C for 4 hours (Example 1A) had substantially the same composition as the DEMS film deposited and annealed in the same manner (Example 1D). DEMS-ATP prior to annealing indicates a substantially greater amount of carbon-based material in the film (IR analysis supports that this carbon-based material is very similar to ATP - see Figure 3). This supports the assertion that the porogen material introduced into the DEMS film upon co-deposition with ATP has been substantially completely removed by the thermal post-treatment process. Thermogravimetric analysis (Fig. 4) further shows that there is significant weight loss of the material so deposited when heated to temperatures above 350 °C, which is another evidence of porogen removal during annealing. The collapse of some of the OSG network during the removal of the porogen also resulted in the observed film shrinkage. However, there is almost no loss of organic groups from the OSG network, ie most of the terminal methyl groups within the DEMS are maintained (see the XPS data for the DEMS film before and after heat treatment shown in Figure 1). This is obtained in the IR spectrum at ~ 1275 wave number corresponding to the relative support Si-CH 3 bands. The lack of Si-OH groups in the IR spectrum demonstrates the hydrophobicity of this material. A decrease in the refractive index and dielectric constant of the film after annealing indicates that they are looser than the pre-annealed film, although the film thickness is lowered. The positron annihilation lifetime spectrum (PALS) indicates that the pore sizes of Examples 1A, 1B, and 1F range from ~1.5 nm equivalent spherical diameter. In addition, unlike the work of Grill et al. (mentioned in the introduction), the thickness loss analysis (Example 1A) with compositional changes indicates that the OSG network is maintained during annealing and is not significantly degraded.

實施例3一改進的機械性能/環狀生孔劑Example 3 - Improved Mechanical Properties / Annular Porogen

如上詳述那樣,在Applied Materials Precision 5000 Platform中製備一些膜。採用熔化寬頻UV燈泡進行UV處理。採用MTS AS-1 Nanoindentor通過奈米切口測量多孔膜的機械性能。Some membranes were prepared in the Applied Materials Precision 5000 Platform as detailed above. UV treatment was carried out using a melted broadband UV bulb. The mechanical properties of the porous membrane were measured by a nano slit using an MTS AS-1 Nanoindentor.

參照表3,介電常數為2.5的DEMS/環辛烷膜相對於具有相同介電常數的DEMS/ATP膜模數增加大於35%。環辛烷不具有碳碳雙鍵且不具有側基或支化結構,同時α-萜品烯具有2個碳碳雙鍵且是具有取代在碳環之上的甲基和丙基的支化結構。α-萜品烯的電離能計算為比環辛烷低差不多2eV。認為這樣容許有機矽烷前驅物的更多斷裂且最終導致更少甲基引入OSG網路中。Referring to Table 3, the DEMS/cyclooctane film having a dielectric constant of 2.5 increased by more than 35% relative to the modulus of the DEMS/ATP film having the same dielectric constant. Cyclooctane does not have a carbon-carbon double bond and has no pendant or branched structure, while α-terpinene has 2 carbon-carbon double bonds and is branched with a methyl group and a propyl group substituted above the carbocyclic ring. structure. The ionization energy of α-terpinene was calculated to be about 2 eV lower than cyclooctane. This is believed to allow for more fragmentation of the organodecane precursor and ultimately results in less methylation being introduced into the OSG network.

現在參照表4,對於與生孔劑前驅物混合的DEMS也進行實驗,其中保持每分子碳原子數目恒定。資料顯示,具有低不飽和度的環狀、非支化結構是優選的生孔劑前驅物以製得高機械強度膜。通過異辛烷(其是非環狀且支化的)製得的膜導致最低的硬度值。通過環辛烷(其是環狀、非支化的)製得的膜具有1個飽和度,導致最高的硬度值。Referring now to Table 4, experiments were also conducted on DEMS mixed with a porogen precursor, wherein the number of carbon atoms per molecule was kept constant. The data show that cyclic, non-branched structures with low unsaturation are preferred porogen precursors to produce high mechanical strength films. Films made by isooctane, which is acyclic and branched, result in the lowest hardness values. A film made by cyclooctane, which is cyclic, unbranched, has 1 saturation, resulting in the highest hardness value.

參照表5,利用所列的生孔劑前驅物來形成介電常數在2.27~2.46之間的膜。在2.26~2.27之間的可比介電常數下,利用1,5-環辛二烯作為前驅物(不飽和度3)的DEMS膜比利用環戊二烯二聚體作為前驅物(不飽和度5)的膜模數高40%。在2.41~2.46之間的可比介電常數下,利用環庚烷(不飽和度1)的DEMS膜比利用乙烯基環己烷(不飽和度2)的膜模數高9%。Referring to Table 5, the porogen precursors listed were used to form a film having a dielectric constant between 2.27 and 2.46. At a comparable dielectric constant between 2.26 and 2.27, a DEMS membrane using 1,5-cyclooctadiene as a precursor (unsaturation 3) is used as a precursor than a cyclopentadiene dimer (unsaturation) 5) The film modulus is 40% higher. At a comparable dielectric constant between 2.41 and 2.46, the DEMS film using cycloheptane (unsaturation 1) is 9% higher than the film modulus using vinylcyclohexane (unsaturation 2).

實施例4一膜證明Example 4 a film proof

參照圖5,通過採用FT-IR在3100~2800cm-1 波數範圍內的吸收證明如此沉積的生孔劑結構。集中在約2960cm-1 的峰歸因於-CH3 伸縮方式,但是集中在約2930cm-1 的峰歸因於-CH2 伸縮方式。參照圖6,環狀、非支化生孔劑前驅物導致複合膜中更多聚乙烯-CH2 -類的生孔劑。圖5顯示,對於這種材料,集中在2930cm-1 的峰比集中2960cm-1 的峰更高。並不期望受到特定理論顯示,認為由環辛烷(和其他優選的生孔劑)沉積的聚乙烯類有機材料可以更容易地從該膜中除去,且在硬化室之內導致更少的光吸收性殘留物聚積(例如不飽和的、共軛的、芳族碳)。申請人令人吃驚地發現,這種作用降低了清潔沉積和UV硬化室所需的時間且改進了總生產量。例如,參照圖7,顯然環狀、非支化的、不飽和的生孔劑前驅物比其他生孔劑在生孔劑去除之後阻礙更少的在269nm處的UV信號。對於前一種類型的膜還觀察到硬化過程之後必須的清潔時間的降低。圖7中,來自環辛烷(環狀、非支化前驅物,不飽和度1)流出殘留物相對於檸檬烯(環狀、支化、不飽和度3),在室窗口上阻礙更少的UV強度且導致更短的室清潔時間。Referring to Figure 5, the thus deposited porogen structure was demonstrated by absorption using FT-IR in the range of 3100 to 2800 cm -1 wavenumber. Concentrated peak at about 2960cm -1 is attributed to -CH 3 stretching way, but concentrated in the peak at about 2930cm -1 is attributed to -CH 2 stretching mode. Referring to FIG. 6, the cyclic, unbranched porogen precursor results in metaplasia composite film more polyethylene -CH 2 - like porogen. Figure 5 shows that for this material, the peak centered 2930cm -1 higher than the concentration peak of 2960cm -1. Without wishing to be bound by a particular theory, it is believed that polyethylene-based organic materials deposited from cyclooctane (and other preferred porogens) can be more easily removed from the film and result in less light within the hardening chamber. Absorbent residues accumulate (eg, unsaturated, conjugated, aromatic carbon). Applicants have surprisingly found that this effect reduces the time required to clean the deposition and UV hardening chamber and improves overall throughput. For example, referring to Figure 7, it is apparent that the cyclic, unbranched, unsaturated porogen precursor blocks less UV signal at 269 nm after porogen removal than other porogens. A reduction in the cleaning time necessary after the hardening process was also observed for the former type of film. In Figure 7, the residue from cyclooctane (cyclic, unbranched precursor, unsaturation 1) relative to limonene (annular, branched, unsaturation 3) hinders less on the chamber window. UV intensity and result in shorter chamber cleaning times.

現在參照圖8、9和10,本發明者觀察到,通過利用具有低不飽和度的環狀非支化生孔劑前驅物,在多孔膜中獲得更少的矽-甲基引入。這種Si-CH3 /Si-O物質的比例為膜網路連通性的度量,且已顯示與膜模數和與相鄰阻隔層的粘合力直接相關。並不期望受到特定理論顯示,認為這種類別的生孔劑能使得在所獲膜中形成更加牢固的有機矽酸鹽網路。Referring now to Figures 8, 9, and 10, the inventors have observed that by using a cyclic unbranched porogen precursor having low unsaturation, less ruthenium-methyl introduction is obtained in the porous membrane. The ratio of such Si-CH 3 /Si-O species is a measure of membrane network connectivity and has been shown to be directly related to membrane modulus and adhesion to adjacent barrier layers. Without wishing to be bound by a particular theory, it is believed that this class of porogens will result in a more robust organic citrate network in the resulting film.

實施例5Example 5

對於膜5-A和5-B,通過PECVD將1,3-二矽雜丁烷與環辛烷共沉積在矽片上。200sccm CO2 用於護送化學品到沉積室之內,除了10sccm O2 之外。通過在1~20托的流動氦氣下曝露於寬頻UV輻射使膜硬化。利用FT-IR峰面積估算表6中的相對化學品濃度。從下列波數範圍SiCH3 (1250-1300cm-1 )、Si-CH2 -Si(1340-1385cm-1 )、Si-O(950-1250cm-1 )中積分資料。For films 5-A and 5-B, 1,3-dioxane butane and cyclooctane were co-deposited on the enamel by PECVD. 200 sccm CO 2 was used to escort chemicals into the deposition chamber, except for 10 sccm O 2 . The film is cured by exposure to broadband UV radiation under flowing helium of 1 to 20 Torr. The relative chemical concentrations in Table 6 were estimated using the FT-IR peak area. The data were integrated from the following wavenumber ranges SiCH 3 (1250-1300 cm -1 ), Si-CH 2 -Si (1340-1385 cm -1 ), Si-O (950-1250 cm -1 ).

如圖11中所述,膜5-A和5-B在1360cm-1 範圍內的FT-IR信號增強,這點表明了Si-CH2 -Si型物質的增強。另外,表6證實了膜5-A和5-B比利用二乙氧基甲基矽烷(DEMS)和α-萜品烯(ATP)沉積的膜具有更高數量級的亞甲基/SiO比例。As shown in Fig. 11, the FT-IR signals of the films 5-A and 5-B in the range of 1360 cm -1 were enhanced, which indicates the enhancement of the Si-CH 2 -Si type substance. In addition, Table 6 demonstrates that films 5-A and 5-B have a higher order of methylene/SiO ratio than films deposited using diethoxymethyl decane (DEMS) and alpha-terpinene (ATP).

實施例6Example 6

對於膜6A~6D,通過PECVD將雙-三乙氧基甲矽烷基甲烷與環辛烷共沉積在矽片上。除了20sccm O2 之外,200sccm CO2 用於護送化學品到沉積室之內。通過在1~20托的流動氦氣下曝露於寬頻UV輻射使膜硬化。表7中顯示了機械性能和介電常數,其中利用這種化學品組合和優選的生孔劑,對於介電常數為1.92的膜達到了2.85GPa的模數。For the films 6A to 6D, bis-triethoxymethane alkyl methane and cyclooctane were co-deposited on the enamel by PECVD. In addition to 20 sccm O 2 , 200 sccm CO 2 was used to escort chemicals into the deposition chamber. The film is cured by exposure to broadband UV radiation under flowing helium of 1 to 20 Torr. Mechanical properties and dielectric constant are shown in Table 7, wherein with this chemical combination and preferred porogen, a modulus of 2.85 GPa was achieved for a film having a dielectric constant of 1.92.

已參照一些優選的實施方式闡述了本發明,但是認為本發明的範圍比那些實施方式更寬且應由下列權利要求來確定。The invention has been described with reference to a number of preferred embodiments, but the scope of the invention is considered to be broader than those of the embodiments.

圖1顯示了本發明膜的紅外光譜,利用了與其混合的熱不穩定基團,在表示消除熱不穩定基團的後退火之前和之後;Figure 1 shows the infrared spectrum of the film of the present invention, using a thermally labile group mixed therewith, before and after the post-annealing indicating the elimination of the heat labile group;

圖2為本發明膜的紅外光譜,識別了該膜的組份的峰值;Figure 2 is an infrared spectrum of the film of the present invention, identifying peaks of the components of the film;

圖3為ATP的紅外光譜,適用作為本發明中孔隙形成添加劑的熱不穩定基團;Figure 3 is an infrared spectrum of ATP suitable for use as a thermally labile group in the pore forming additive of the present invention;

圖4為退火期間本發明膜的熱重分析,表明了由於該膜中熱不穩定基團的損失而導致的重量損失;Figure 4 is a thermogravimetric analysis of the film of the invention during annealing, showing weight loss due to loss of thermally labile groups in the film;

圖5為生孔劑去除之前依據本發明的複合膜的紅外光譜;Figure 5 is an infrared spectrum of a composite film according to the present invention before porogen removal;

圖6闡述了依據本發明的複合膜和聚乙烯的對照紅外光譜;Figure 6 illustrates a control infrared spectrum of a composite film and polyethylene in accordance with the present invention;

圖7闡述了採用依據本發明的優選生孔劑時有利的室清潔;Figure 7 illustrates an advantageous chamber cleaning when using a preferred porogen in accordance with the present invention;

圖8闡述了依據本發明的複合膜的對照紅外光譜;Figure 8 illustrates a control infrared spectrum of a composite membrane in accordance with the present invention;

圖9闡述了依據本發明的膜的一些機械性能;Figure 9 illustrates some of the mechanical properties of the film in accordance with the present invention;

圖10闡述了依據本發明的膜的一些機械性能;Figure 10 illustrates some of the mechanical properties of the film in accordance with the present invention;

圖11為依據本發明實施方式的膜的紅外(FT-IR)光譜。Figure 11 is an infrared (FT-IR) spectrum of a film in accordance with an embodiment of the present invention.

Claims (18)

一種用於製備式Siv Ow Cx Hy Fz 所示的多孔有機矽石玻璃膜的化學氣相沉積方法,其中v+w+x+y+z=100%,v為10~35原子%,w為10~65原子%,x為5~30原子%,y為10~50原子%和z為0~15原子%,所述方法包括:在真空室中提供基材;在真空室內引入氣態反應物,其包含選自有機矽烷和有機矽氧烷的至少一種前驅物,其中該至少一種前驅物為選自下列的成員:二乙氧基甲基矽烷、二甲氧基甲基矽烷、二異丙氧基甲基矽烷、二第三丁氧基甲基矽烷、甲基三乙氧基矽烷、甲基三甲氧基矽烷、甲基三異丙氧基矽烷、甲基三第三丁氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、二甲基二異丙氧基矽烷、二甲基二第三丁氧基矽烷、和四乙氧基矽烷,和不同於該前驅物的生孔劑,其中該生孔劑選自環辛烷、環庚烷、環辛烯、環辛二烯、環庚烯、及其混合;在真空室中將能量施加到氣態反應物來引發氣態反應物的反應,以在基材上沉積初級膜,其中該初級膜含有生孔劑;和從該初級膜中充分除去全部不穩定的有機材料,由此提供具有孔隙和小於2.6的介電常數的多孔膜。A chemical vapor deposition method for preparing a porous organic vermiculite glass film represented by the formula Si v O w C x H y F z , wherein v+w+x+y+z=100%, and v is 10~35 Atomic %, w is 10 to 65 atom%, x is 5 to 30 atom%, y is 10 to 50 atom%, and z is 0 to 15 atom%, and the method includes: providing a substrate in a vacuum chamber; Indoor introduction of a gaseous reactant comprising at least one precursor selected from the group consisting of organodecane and organosiloxane, wherein the at least one precursor is a member selected from the group consisting of diethoxymethylnonane, dimethoxymethyl Decane, diisopropoxymethyl decane, di-tert-butoxymethyl decane, methyl triethoxy decane, methyl trimethoxy decane, methyl triisopropoxy decane, methyl tri-third Butoxy decane, dimethyl dimethoxy decane, dimethyl diethoxy decane, dimethyl diisopropoxy decane, dimethyl ditributyl decane, and tetraethoxy decane And a porogen different from the precursor, wherein the porogen is selected from the group consisting of cyclooctane, cycloheptane, cyclooctene, cyclooctadiene, cycloheptene, and mixtures thereof; energy is added in a vacuum chamber Apply Passing a gaseous reactant to initiate a reaction of a gaseous reactant to deposit a primary film on the substrate, wherein the primary film contains a porogen; and substantially removing all of the unstable organic material from the primary film, thereby providing porosity And a porous film having a dielectric constant of less than 2.6. 如申請專利範圍第1項的方法,其中該介電常數小於2.2。 The method of claim 1, wherein the dielectric constant is less than 2.2. 如申請專利範圍第1項的方法,其中v為20~30原子%,w為20~45原子%,x為5~20原子%,y為15~40原子%和z為0。 For example, in the method of claim 1, wherein v is 20 to 30 atom%, w is 20 to 45 atom%, x is 5 to 20 atom%, y is 15 to 40 atom%, and z is 0. 如申請專利範圍第1項的方法,其中該能量為電漿能,且通過曝露於紫外輻射除去生孔劑。 The method of claim 1, wherein the energy is plasma energy and the porogen is removed by exposure to ultraviolet radiation. 如申請專利範圍第1項的方法,其中該多孔膜的密度小於1.5 g/ml。 The method of claim 1, wherein the porous film has a density of less than 1.5 g/ml. 如申請專利範圍第1項的方法,其中該孔隙的當量球徑小於或等於5 nm。 The method of claim 1, wherein the pores have an equivalent spherical diameter of less than or equal to 5 nm. 如申請專利範圍第1項的方法,其中該多孔膜的傅立葉變換紅外(FTIR)光譜與通過等同於該方法、除了缺少生孔劑前驅物的製程製得的對照膜的對照FTIR等同。 The method of claim 1, wherein the Fourier Transform Infrared (FTIR) spectrum of the porous membrane is equivalent to a control FTIR of a control membrane prepared by a process equivalent to the method except for the lack of a porogen precursor. 如申請專利範圍第1項的方法,其中該多孔膜在425℃下N2 下平均重量損失小於1.0 wt%/hr等溫。The method according to Claim 1 patentable scope, wherein the porous film under N 2 average weight loss of less than 1.0 wt% / hr isothermal at 425 ℃. 如申請專利範圍第1項的方法,其中該多孔膜在425℃下空氣下平均重量損失小於1.0wt%/hr等溫。 The method of claim 1, wherein the porous film has an average weight loss of less than 1.0 wt%/hr isothermal at 425 °C. 如申請專利範圍第1項的方法,其中該生孔劑選自環辛烷、環辛烯、及其混合。 The method of claim 1, wherein the porogen is selected from the group consisting of cyclooctane, cyclooctene, and mixtures thereof. 如申請專利範圍第10項的方法,其中該生孔劑為環辛烷。 The method of claim 10, wherein the porogen is cyclooctane. 如申請專利範圍第1項的方法,其中該有機矽氧烷為二乙氧基甲基矽烷(DEMS)。 The method of claim 1, wherein the organooxane is diethoxymethyldecane (DEMS). 如申請專利範圍第1項的方法,其中所述至少一種前驅物為具有兩個或更少Si-O鍵的第一有機矽前驅物與具有三個或更多Si-O鍵的第二有機矽前驅物的混合物,且提供該混合物以調整該多孔膜的化學組成。 The method of claim 1, wherein the at least one precursor is a first organic germanium precursor having two or fewer Si-O bonds and a second organic having three or more Si-O bonds A mixture of precursors is provided and the mixture is provided to adjust the chemical composition of the porous membrane. 如申請專利範圍第1項的方法,其中該氣態反應物包括二乙氧基甲基矽烷和四乙氧基矽烷的混合物。 The method of claim 1, wherein the gaseous reactant comprises a mixture of diethoxymethyldecane and tetraethoxydecane. 一種用於製備多孔有機矽石玻璃膜的組合物,其包括:(i)至少一種選自下列的前驅物:二乙氧基甲基矽烷、二甲氧基甲基矽烷、二異丙氧基甲基矽烷、二第三丁氧基甲基矽烷、雙(二甲氧基甲矽烷基)甲烷、及其混合;和(ii)不同於該至少一種前驅物的生孔劑,所述生孔劑為選自下列的成員:環辛烯、環庚烯、環辛烷、環辛二烯、 環庚烷、環庚二烯、環庚三烯、環己烷、及其混合。 A composition for preparing a porous organic vermiculite glass film, comprising: (i) at least one precursor selected from the group consisting of diethoxymethyl decane, dimethoxymethyl decane, diisopropoxy Methyl decane, di-tert-butoxymethyl decane, bis(dimethoxymethyl decyl) methane, and mixtures thereof; and (ii) a porogen different from the at least one precursor, the porogen The agent is a member selected from the group consisting of cyclooctene, cycloheptene, cyclooctane, cyclooctadiene, Cycloheptane, cycloheptadiene, cycloheptatriene, cyclohexane, and mixtures thereof. 如申請專利範圍第15項的組合物,其成套提供,其中生孔劑和前驅物保存在單獨的容器中。 A composition according to claim 15 in the kit, wherein the porogen and the precursor are stored in separate containers. 如申請專利範圍第16項的組合物,其中至少一個容器為可加壓的不銹鋼容器。 The composition of claim 16, wherein at least one of the containers is a pressurizable stainless steel container. 如申請專利範圍第15項的組合物,其中生孔劑和前驅物保存在具有用於保持該生孔劑和前驅物分開的分離設備的單一容器中。The composition of claim 15 wherein the porogen and precursor are stored in a single container having a separation device for maintaining separation of the porogen and precursor.
TW098114769A 2008-05-05 2009-05-04 Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants TWI397606B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/115,087 US20080268177A1 (en) 2002-05-17 2008-05-05 Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants

Publications (2)

Publication Number Publication Date
TW200946710A TW200946710A (en) 2009-11-16
TWI397606B true TWI397606B (en) 2013-06-01

Family

ID=40996827

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098114769A TWI397606B (en) 2008-05-05 2009-05-04 Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants

Country Status (6)

Country Link
US (1) US20080268177A1 (en)
EP (1) EP2116632A3 (en)
JP (2) JP5270442B2 (en)
KR (5) KR20090115915A (en)
CN (2) CN103147066A (en)
TW (1) TWI397606B (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2363512A1 (en) * 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
CN102859666B (en) * 2010-02-09 2015-05-13 西江大学校产学协力团 Method for manufacturing a nanoporous ultra-low dielectric thin film including a high-temperature ozone treatment and nanoporous ultra-low dielectric thin film manufactured by the method
CN102762763B (en) * 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 Vapor deposition methods of SICOH low-K films
WO2011106218A2 (en) * 2010-02-25 2011-09-01 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
CN101789418B (en) * 2010-03-11 2011-12-28 复旦大学 Porous ultra-low dielectric constant material film and preparation method thereof
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8441006B2 (en) * 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US8772154B2 (en) * 2011-06-17 2014-07-08 GlobalFoundries, Inc. Integrated circuits including barrier polish stop layers and methods for the manufacture thereof
US9054110B2 (en) * 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
DE102013215400A1 (en) * 2013-08-06 2015-02-12 Robert Bosch Gmbh Silicate airgel and process for its preparation
CN104008997A (en) * 2014-06-04 2014-08-27 复旦大学 Ultra-low dielectric constant insulating film and manufacturing method thereof
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
JP6585724B2 (en) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Composition for carbon-doped silicon-containing film and method of using the same
EP3268997A1 (en) * 2015-03-09 2018-01-17 Versum Materials US, LLC Process for depositing porous organosilicate glass films for use as resistive random access memory
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
US20200165727A1 (en) * 2018-11-27 2020-05-28 Versum Materials Us, Llc 1-Methyl-1-Iso-Propoxy-Silacycloalkanes And Dense Organosilica Films Made Therefrom
JP7170921B2 (en) * 2019-08-09 2022-11-14 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Composition for producing low dielectric constant siliceous film, method for producing cured film, and electronic device using the same
WO2021050798A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dialkoxysilanes and dense organosilica films made therefrom
US20220301862A1 (en) * 2019-09-13 2022-09-22 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom
EP4110969A4 (en) * 2020-03-31 2023-10-18 Versum Materials US, LLC New precursors for depositing films with high elastic modulus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI250222B (en) * 2002-04-17 2006-03-01 Air Prod & Chem Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2008010877A (en) * 2006-06-27 2008-01-17 Air Products & Chemicals Inc Curing of insulating film under reduction atmosphere

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2536013A1 (en) * 1975-08-13 1977-03-03 Bosch Gmbh Robert PROCESS FOR IMPROVING THE DURABILITY OF PROTECTIVE COATINGS CONSISTING OF SILICON OXIDES
US5296624A (en) * 1992-11-25 1994-03-22 Huls America, Inc. Preparation of sterically-hindered organosilanes
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
JP3173426B2 (en) * 1997-06-09 2001-06-04 日本電気株式会社 Method for manufacturing silica insulating film and method for manufacturing semiconductor device
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
JP3888794B2 (en) * 1999-01-27 2007-03-07 松下電器産業株式会社 Method for forming porous film, wiring structure and method for forming the same
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
JP3084367B1 (en) 1999-03-17 2000-09-04 キヤノン販売株式会社 Method of forming interlayer insulating film and semiconductor device
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP4272424B2 (en) * 2000-10-25 2009-06-03 インターナショナル・ビジネス・マシーンズ・コーポレーション Ultralow dielectric constant material as an in-level or inter-level dielectric of a semiconductor element, method for manufacturing the same, and electronic device including the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
KR100432152B1 (en) * 2001-04-12 2004-05-17 한국화학연구원 Porogens with polyalkylene oxide multiarms and low dielectric films using them
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP3418383B2 (en) * 2001-05-31 2003-06-23 沖電気工業株式会社 Method for manufacturing semiconductor device
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
ATE499458T1 (en) * 2002-04-17 2011-03-15 Air Prod & Chem METHOD FOR PRODUCING A POROUS SIZE LAYER
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
JP4139952B2 (en) * 2002-07-31 2008-08-27 日本電気株式会社 COPOLYMER POLYMER FILM, METHOD FOR FORMING THE SAME, AND SEMICONDUCTOR DEVICE USING COPOLYMER POLYMER FILM
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
CN1229400C (en) * 2003-09-18 2005-11-30 中国石油化工股份有限公司 Catalyst compoment used for olefinic polymerization and its catalyst
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7883639B2 (en) * 2005-09-12 2011-02-08 Fujifilm Electronic Materials, U.S.A., Inc. Additives to prevent degradation of cyclic alkene derivatives

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI250222B (en) * 2002-04-17 2006-03-01 Air Prod & Chem Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2008010877A (en) * 2006-06-27 2008-01-17 Air Products & Chemicals Inc Curing of insulating film under reduction atmosphere

Also Published As

Publication number Publication date
KR101911798B1 (en) 2018-10-26
EP2116632A3 (en) 2010-08-25
JP5270442B2 (en) 2013-08-21
TW200946710A (en) 2009-11-16
US20080268177A1 (en) 2008-10-30
KR20090115915A (en) 2009-11-10
KR20150059149A (en) 2015-05-29
JP2009272632A (en) 2009-11-19
KR20120073190A (en) 2012-07-04
KR20170089804A (en) 2017-08-04
CN101575700A (en) 2009-11-11
KR20170089803A (en) 2017-08-04
CN103147066A (en) 2013-06-12
KR101912534B1 (en) 2018-10-26
EP2116632A2 (en) 2009-11-11
JP2012084912A (en) 2012-04-26

Similar Documents

Publication Publication Date Title
TWI397606B (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
EP1354980B1 (en) Method for forming a porous SiOCH layer.
US8293001B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7332445B2 (en) Porous low dielectric constant compositions and methods for making and using same
US6846515B2 (en) Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2004320005A (en) Chemical vapor deposition process for fabricating porous organic silica film
JP5711176B2 (en) Composition
JP2011014925A5 (en)
JP2022153428A (en) Alkoxysilacyclic or acyloxysilacyclic compound and method for depositing film using the same
US8951342B2 (en) Methods for using porogens for low k porous organosilica glass films
CN109722648A (en) Silicon heterocyclic compound and method for depositing silicon-containing film using the same