TWI394224B - Apparatus and methods for transporting and processing substrates - Google Patents

Apparatus and methods for transporting and processing substrates Download PDF

Info

Publication number
TWI394224B
TWI394224B TW98105804A TW98105804A TWI394224B TW I394224 B TWI394224 B TW I394224B TW 98105804 A TW98105804 A TW 98105804A TW 98105804 A TW98105804 A TW 98105804A TW I394224 B TWI394224 B TW I394224B
Authority
TW
Taiwan
Prior art keywords
chamber
processing
substrate
substrate processing
wafer
Prior art date
Application number
TW98105804A
Other languages
Chinese (zh)
Other versions
TW201032282A (en
Inventor
Terry Bluck
Kevin P Fairbairn
Michael S Barnes
Christopher T Lane
Original Assignee
Intevac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intevac Inc filed Critical Intevac Inc
Priority to TW98105804A priority Critical patent/TWI394224B/en
Publication of TW201032282A publication Critical patent/TW201032282A/en
Application granted granted Critical
Publication of TWI394224B publication Critical patent/TWI394224B/en

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

載送及處理基板之裝置與方法Apparatus and method for carrying and processing substrates

本發明是關於一種新穎的輸送及處理基板的裝置與方法,該基板特別是晶圓。The present invention relates to a novel apparatus and method for transporting and processing substrates, particularly wafers.

發明背景Background of the invention

在製作半導體的技術中,有一種稱為「集束型製程設備」(cluster tool)的共用工具(common tool),為晶圓製作時的關鍵性單元之一。典型的商業化裝置具有一大致呈圓形的中央區,其周邊則附設處理腔。該處理腔繞該中央區向外延伸。在處理晶圓時,晶圓係先從位在該中央腔周邊之一輸入、輸出站,進入該中央腔,再自該中央腔進入臨近或周圍之處理腔,在此進行處理。事實上,上述設備即為目前業界所有製造系統所使用之系統,作法上均是一次處理一片晶圓。晶圓送進一處理腔進行處理後,再回到中央腔。其後可能再送到另一位於周圍之處理腔,進行下一製程處理,再回到中央腔。當該晶圓完全處理完畢後,再由該設備中移出。而其移出也是經由一輸入/輸出站,或連結到真空系統的一處理腔,稱為裝載區(load lock),晶圓在此由真空進入大氣。這種處理單元例如在美國專利4,951,601中即有揭示。Among the technologies for fabricating semiconductors, there is a common tool called a "cluster tool", which is one of the key units in wafer fabrication. A typical commercial unit has a generally circular central zone with a processing chamber attached to its periphery. The processing chamber extends outwardly about the central region. When processing the wafer, the wafer first enters the central cavity from one of the input and output stations located at the periphery of the central cavity, and then enters the adjacent or surrounding processing chamber from the central cavity for processing. In fact, the above-mentioned equipment is the system used in all manufacturing systems in the industry, and the processing is to process one wafer at a time. After the wafer is fed into a processing chamber for processing, it is returned to the central cavity. It may then be sent to another processing chamber located around, for the next process, and back to the central chamber. When the wafer is completely processed, it is removed from the device. The removal is also via an input/output station, or to a processing chamber of the vacuum system, called a load lock, where the wafer enters the atmosphere by vacuum. Such a processing unit is disclosed, for example, in U.S. Patent 4,951,601.

另一種製造設備係對晶圓沿一中心軸作索引,並將晶圓喂入圍繞的處理腔內。在這種製造設備中,所有的晶圓是一次全部喂入下一處理步驟,而不將晶圓個別移送。不過,在處理時則是個別處理。所有晶圓在一處理站內的停留時間都相同,但在一處理站之處理則是個別控制,而其時間長則是各該處理站所容許時間之最大值。雖然前述第一種工具也可設成以上述方式操作,但事實上也可不將晶圓依序移送到鄰近之處理腔,且所有晶圓在處理腔中也不須均維持相同之停留時間。Another manufacturing device indexes the wafer along a central axis and feeds the wafer into a surrounding processing chamber. In this manufacturing facility, all of the wafers are fed all at once to the next processing step without individually transferring the wafers. However, it is handled individually when processing. All wafers have the same residence time in a processing station, but the processing at one processing station is individually controlled, and the length of time is the maximum time allowed for each processing station. Although the first tool described above can also be configured to operate in the manner described above, in practice, the wafers may not be sequentially transferred to adjacent processing chambers, and all wafers do not have to maintain the same residence time in the processing chamber.

在上述任一系統運作時,其中央區域在大多時間中均維持真空,但其環境也可預選、預設及控制成其他方式。例如該中央區域可以提供一氣體,用於在該處理腔內之處理。該腔體或沿該中央區域外表面之區間,也可維持一真空,但也可具有一預選及受控制之氣體環境。晶圓的處理大致上是在一真空中進行,做法是在真空中將晶圓由該中央腔移動至一相連之腔體或區間。大致上而言,當該晶圓達到一腔體或一區間進行處理時,該腔體或區間即予關閉,與該中央腔體隔絕。這種作法可避免在該處理腔或區間中使用的材料及/或氣體抵達該中央區,藉以防止汙染該中央區以及在鄰近之處理腔之環境,並/或防止汙染位在該中央區等待處理或進一步處理的晶圓。這種方式也可使該處理腔之真空程度與在中央區域之真空程度不同,以利在該腔體內所要進行之特定處理。例如,假設在一腔體中所要進行之處理需要更高真空,則將該中央區與該腔體間加以封閉後,即可將該腔體再進一步抽真空,以符合在該腔體中要進行之特定處理下之製程需求。反之,如果所需真空程度較低,則可在其中加壓,而不會影響該中央腔之氣壓。在該晶圓處理完成後,將該晶圓移回該中央腔,然後送出系統之外。透過此種方式,該晶圓可以依序歷經該製程設備之處理以及可使用之製程步驟。反之,該晶圓也可只經過選定之處理腔,並只經選定之製程處理。When any of the above systems is in operation, the central area maintains a vacuum for most of the time, but the environment can also be pre-selected, preset, and controlled in other ways. For example, the central region can provide a gas for processing within the processing chamber. The chamber or a section along the outer surface of the central region may also maintain a vacuum, but may also have a preselected and controlled gaseous environment. Wafer processing is generally performed in a vacuum by moving the wafer from the central cavity to a connected cavity or section in a vacuum. In general, when the wafer reaches a cavity or an interval for processing, the cavity or section is closed and isolated from the central cavity. This method prevents materials and/or gases used in the processing chamber or section from reaching the central zone, thereby preventing contamination of the central zone and the environment adjacent to the processing chamber, and/or preventing contamination from being located in the central zone. A wafer that is processed or further processed. This approach also allows the processing chamber to be vacuumed differently than the vacuum in the central region to facilitate the particular processing to be performed within the chamber. For example, assuming that a higher vacuum is required for the treatment to be performed in a cavity, the central zone and the cavity are closed, and the cavity can be further evacuated to conform to the cavity. Process requirements under specific processing. Conversely, if the degree of vacuum required is low, it can be pressurized therein without affecting the pressure of the central chamber. After the wafer processing is completed, the wafer is moved back to the central cavity and then sent out of the system. In this way, the wafer can be processed sequentially by the process equipment and the process steps that can be used. Conversely, the wafer can also pass through only selected processing chambers and be processed only by the selected process.

上述製程之衍生也使用在市面可見之設備中。不過,此種設備全部使用一中央區或中央腔,而與各個製程步驟相結合。且由於上述設備之最主要用途乃在製造晶圓,本文之討論將著重在製作晶圓之情形。不過需瞭解,本文所揭示之技術,大部份之製程也可應用在各種基板,因此本專利說明書也應及於此種基板,以及其製造設備。Derivatives of the above processes are also used in equipment that is commercially available. However, such devices all use a central zone or central cavity and are combined with various process steps. And since the primary use of the above devices is in the fabrication of wafers, the discussion in this article will focus on the fabrication of wafers. However, it should be understood that most of the processes disclosed herein can be applied to a variety of substrates, and therefore this patent specification is also applicable to such substrates, as well as their manufacturing equipment.

最近更有人發表一種較為特殊之系統,該系統之特色在於其形狀為直線形,有別於圓形,且晶圓在處理中是由一處理腔移動至下一處理腔。由於其晶圓是依序由一處理腔移到一鄰近之處理腔,在其設備中即無存在一中央區域之必要。在此種製造設備中,晶圓進入該單元,大致上附載在一夾具上,該夾具與該晶圓一起移動,而經歷整個系統。在此種單元中,在各處理腔中之處理時間相同。More recently, a more special system has been published, which is characterized by its shape being linear, different from a circle, and the wafer is moved from one processing chamber to the next during processing. Since the wafer is sequentially moved from a processing chamber to an adjacent processing chamber, there is no need for a central region in its device. In such a manufacturing apparatus, a wafer enters the unit and is substantially attached to a fixture that moves with the wafer and undergoes the entire system. In such a unit, the processing time in each processing chamber is the same.

此種系統所佔之面積小於傳統之機器,因其面積接近全部處理腔體面積總和,而不需再包括一大空間之中央腔。對這種設備而言,不啻為一大改進。這種系統揭載於美國專利公開案2006/0102078 A1。在此種特別之系統中,晶圓在各個處理站之停留時間相同。也因此,當然在處理上之限制也有改變。換言之,受最長停留時間之限制。在此情形下,如果要根據每站所需處理時間不同,而使晶圓在各站之停留時間也不同,則不應使用這種系統。同時,在此種型態之設備中,其缺點也包括:某一處理站發生故障或進行維修時,整個系統即會停擺,無法進行處理。Such a system occupies a smaller area than a conventional machine because its area is close to the sum of all processing chambers and does not require a central space of a large space. For this kind of equipment, it is a big improvement. Such a system is disclosed in U.S. Patent Publication No. 2006/0102078 A1. In this particular system, the wafers have the same residence time at each processing station. Therefore, of course, the restrictions on processing have also changed. In other words, it is limited by the longest stay time. In this case, such a system should not be used if the wafer has different residence times at each station depending on the processing time required for each station. At the same time, in this type of equipment, the disadvantages include: when a processing station fails or performs maintenance, the entire system will stop and cannot be processed.

本發明是關於一種新穎的晶圓處理單元,用以在維持相同之小體積下,仍能各別控制晶圓在各處理站內之停留時間。本發明亦提供即使一或以上之處理站因故停止運作,整體系統仍可持續操作之功能。一方面,半導體之製造成本非常之高,且成本一再向上攀升。在此行業中進行投資者,成本越高,風險越大。本發明之一目的乃在提出一種設備,可以將成本降低一合理比例,並提供一改良之系統,以所謂「Lean」(精簡)製造原理運作。因此,本發明之一目的乃在儘量增大處理腔體,但維持一小設備面積。本發明另一目的也在儘量提高處理站之應用性。本發明另一目的則在簡化設備之機械手及其操作。本發明之系統並可提供可觀之冗餘度,包括高到100%之系統可用性,即使在大型主機服務(mainframe servicing)下乃可進行處理。在此條件下,只有少數處理腔係在使用中,但所有步驟均可繼續執行,以處理晶圓。且其服務腔或處理腔可以在各處理腔由後向前或由前向後進行。此外,在本發明之較佳實施例中,該處理腔可以設置成一直線配置。如此一來,可以確保整體面積最小,但可使晶圓之個別處理程序能在不同處理腔進行。SUMMARY OF THE INVENTION The present invention is directed to a novel wafer processing unit for individually controlling the residence time of wafers in various processing stations while maintaining the same small volume. The present invention also provides a function that the overall system can continue to operate even if one or more of the processing stations cease to operate for any reason. On the one hand, semiconductor manufacturing costs are very high, and costs are rising again and again. Investors in this industry, the higher the cost, the greater the risk. It is an object of the present invention to provide an apparatus that can reduce the cost by a reasonable ratio and provide an improved system that operates on the so-called "Lean" manufacturing principle. Accordingly, it is an object of the present invention to maximize the processing chamber but maintain a small equipment area. Another object of the invention is also to maximize the applicability of the processing station. Another object of the invention is to simplify the robot and its operation of the device. The system of the present invention can provide significant redundancy, including system availability as high as 100%, even under mainframe servicing. Under this condition, only a few processing chambers are in use, but all steps can continue to be performed to process the wafer. And its service chamber or processing chamber can be performed from the back to the front or from the front to the back in each processing chamber. Moreover, in a preferred embodiment of the invention, the processing chambers can be arranged in a straight line configuration. In this way, the overall area can be minimized, but individual processing of the wafer can be performed in different processing chambers.

該處理腔通常具有執行各種處理晶圓相關製程之一種之能力。例如,在製作一晶圓時,該晶圓通常需移動經過一或多道蝕刻製程,一或多道濺射或物理蒸鍍製程,離子植入、化學蒸鍍(CVD)及加熱及/或冷卻製程,以及其他製程。用來製作晶圓之製程步驟之全部數量即意謂,如果使用習知之機器來執行各種製程步驟,則必須使用多數之機器或具有大型子系統之機具。然而在本發明中,則另外提供一項優點,即可在系統中增加額外之功能工作站,卻不會使系統面積有明顯增加,或根本不需增加新的整體系統。The processing chamber typically has the ability to perform a variety of processing wafer related processes. For example, when fabricating a wafer, the wafer typically needs to be moved through one or more etching processes, one or more sputtering or physical evaporation processes, ion implantation, chemical vapor deposition (CVD), and heating and/or Cooling process, and other processes. The total number of process steps used to make a wafer means that if a conventional machine is used to perform various process steps, then most machines or tools with large subsystems must be used. However, in the present invention, an additional advantage is provided in that additional functional workstations can be added to the system without a significant increase in system area or a new overall system.

為達到上述目的,本發明乃將晶圓之輸送設計成獨立於處理腔設計之外。其結果,處理腔係設計成可以執行各種製程能力之腔體,但其輸送系統則設成可獨立於處理腔設計之外而操作,並將該輸送系統設計成可將晶圓喂入各處理腔及自其中取出。在本發明較佳實例中,輸送是仰賴一連結簡單之機械手臂,依據直線移動及旋轉運動操作,並透過一真空隔板將直線移動及旋轉運動耦合至該機械手臂。為達成降低成本,處理腔之設計乃是形成模組化。故而在一實施例中,該系統可具有3個處理腔,也可使用一配接結構,而使系統具有6個處理腔。另一種作法則是以上述方式,使系統具有4與8個處理腔,或其倍數個處理腔。也可將具有不同數量處理腔之模組加以配接。To achieve the above objectives, the present invention is designed to transport wafers independently of the processing chamber design. As a result, the processing chamber is designed to perform a variety of process capabilities, but the delivery system is designed to operate independently of the processing chamber design, and the delivery system is designed to feed wafers into each process. The cavity is removed from it. In a preferred embodiment of the invention, the transport is based on a simple robotic arm that operates in accordance with linear and rotational motion and couples linear and rotational motion to the robotic arm through a vacuum diaphragm. In order to achieve cost reduction, the design of the processing chamber is modular. Thus, in one embodiment, the system can have three processing chambers, or a mating structure can be used to provide the system with six processing chambers. Another approach is to have the system have 4 and 8 processing chambers, or multiple processing chambers, in the manner described above. Modules with different numbers of processing chambers can also be mated.

本發明之系統具有可擴充性,且其可擴充性並不受未來製程或應用上可能使用之新技術所影響。使用一直線形晶圓傳送技術,達成使系統面積維持在小規模,卻可以提高產率,因而不會造成在潔淨室中需求過大樓面之結果。此外,在同一處理平台上,更可以加入不同之製程步驟。The system of the present invention is scalable and its scalability is not affected by new technologies that may be used in future processes or applications. The use of linear wafer transfer technology allows the system area to be maintained on a small scale while increasing yields without causing the need for floor space in cleanrooms. In addition, different process steps can be added to the same processing platform.

根據本發明之一面向,本發明係揭示一種基板處理系統,包括:一長形基板輸送腔,具有一經抽氣段及一大氣段;一第一直線軌道,固著在該輸送腔之經抽氣段;一第二直線軌道,固著在該輸送腔之大氣段;一第一基座,在該第一直線軌道上沿線移動;一第二基座,在該第二直線軌道上沿線移動;一減速器,設於該第一基座上,並具有一磁性耦合跟隨器,作為其輸入,並提供一較低轉速作為其輸出;一旋轉馬達,設於該第二基座上,以轉動一磁性驅動器,該磁性驅動器穿過一真空隔板提供一旋轉運動至該磁性耦合跟隨器;及一機械手,耦合至該減速器之輸出。可有一直線馬達固著在該第二基座,以提供一線性移動,以及磁化轉輪,耦合至該第二基座。可有一直線移動編碼器,耦合至該第二基座,以及一旋轉編碼器,耦合至該旋轉馬達。在一具有二機械手臂之系統中,其一機械手臂之延伸係耦合至其中一機械手臂,而使該二機械手臂之旋轉軸相合。According to one aspect of the present invention, a substrate processing system includes: an elongated substrate transport chamber having a pumping section and an atmospheric section; and a first linear track fixed to the pumping chamber for pumping a second linear track fixed to the atmospheric section of the transport chamber; a first pedestal moving along the line on the first linear track; and a second pedestal moving along the line on the second linear track; The speed reducer is disposed on the first base and has a magnetic coupling follower as its input and provides a lower rotation speed as its output; a rotating motor is disposed on the second base to rotate one a magnetic actuator that provides a rotational motion to the magnetic coupling follower through a vacuum diaphragm; and a robot coupled to the output of the reducer. A linear motor can be attached to the second base to provide a linear movement and a magnetized rotor coupled to the second base. There may be a linear motion encoder coupled to the second base and a rotary encoder coupled to the rotary motor. In a system with two robotic arms, an extension of a robotic arm is coupled to one of the robotic arms to engage the axes of rotation of the two robotic arms.

根據本發明另一面向,本發明係提供一方法,以將晶圓由一裝載區經由一經抽氣輸送腔,輸送至一處理腔,包含以下步驟:提供一機械手在該輸送腔中;穿過一真空隔板磁性耦合直線移動至該機械手;穿過一真空隔板磁性耦合旋轉運動至該機械手;及在該經抽氣輸送腔中降低該旋轉運動之速度。該方法尚可另包括以下步驟:決定一第一中心點,作為一晶圓位在該裝載區時之中心;決定一第二中心點,作為該晶圓位在該處理腔中時之中心;決定該機械手之樞軸點之位置;及計算該機械手臂之結合直線與旋轉運動,以使該位在該機械手臂上之晶圓只沿該裝載區與該處理腔之連線移動。According to another aspect of the present invention, the present invention provides a method for transporting a wafer from a loading zone to a processing chamber via a pumping delivery chamber, comprising the steps of: providing a robot in the delivery chamber; The magnetic coupling is linearly moved to the robot through a vacuum diaphragm; the magnetic coupling is rotationally moved to the robot through a vacuum diaphragm; and the speed of the rotational motion is reduced in the evacuated delivery chamber. The method may further include the steps of: determining a first center point as a center of the wafer at the loading area; determining a second center point as a center of the wafer level in the processing chamber; Determining the position of the pivot point of the robot; and calculating the combined linear and rotational motion of the robot arm such that the wafer on the robot arm moves only along the line connecting the loading zone to the processing chamber.

請參閱第1圖,圖中顯示一現今所使用之集束型設備(cluster tool)。這種設備通常包括數個處理腔21,連結至中央腔22,而位在中央腔22周圍之輻向位置。在此系統中具有2中央腔。在其他系統則可能只使用單一中央腔。而使用多於2個中央腔之系統也可能存在,除非因此使系統變成不易處理,故而使用者通常必須另外購置一系統。在操作時,各中央腔22中通常使用一機械手。該機械手接收進入該系統之晶圓,並攜帶晶圓由該中央腔進入處理腔,而在處理完成後又回到中央腔。在某些已知技術系統中,係使中央機械手同時間僅可由一晶圓在一處理腔使用。結果,該機械手在一晶圓位在一處理腔中進行相關處理時,係受佔用或無暇供其他用途。這種將一機械手與一處理站進行之處理相連結之方式,對於此種集束型設備而言,不啻是一種產率上的限制。較新的設備則使用多臂型機械手。各處理腔可包括各種型式之處理器,並可包括例如一物理蒸鍍腔,一化學蒸鍍(CVD)腔,或蝕刻腔,或其他目的之處理腔,以在製作晶圓時提供必要之製程。此種型式之機具可以容許不同之處理時間長,因為在晶圓處理過程中,機械手何時將晶圓送進一處理腔及移出該處理腔,乃是取決於其他因素,並以電腦控制。當然,製程也可設成使各步驟時間長均為相同,且其步驟順序均為預定。Please refer to Figure 1, which shows a cluster tool used today. Such a device typically includes a plurality of processing chambers 21 that are coupled to the central lumen 22 and are located at a radial location around the central lumen 22. There are 2 central cavities in this system. In other systems it is possible to use only a single central cavity. Systems using more than two central cavities may also exist unless the system is rendered unmanageable, and the user typically has to purchase another system. In operation, a robot is typically used in each central chamber 22. The robot receives the wafer entering the system and carries the wafer from the central cavity into the processing chamber and returns to the central cavity after processing is completed. In some known art systems, the central robot can be used by only one wafer in a processing chamber at the same time. As a result, the robot is occupied or inactive for other purposes when it is processed in a processing chamber at a wafer level. This manner of attaching a robot to the processing performed by a processing station is a yield limitation for such a cluster type device. Newer devices use multi-arm robots. Each processing chamber can include various types of processors and can include, for example, a physical vapor deposition chamber, a chemical vapor deposition (CVD) chamber, or an etch chamber, or other purpose processing chamber to provide the necessary fabrication of the wafer. Process. This type of implement can tolerate different processing times because when the robot feeds the wafer into and out of the processing chamber during wafer processing, it depends on other factors and is controlled by the computer. Of course, the process can also be set such that the length of each step is the same, and the order of steps is predetermined.

請參照第2圖,圖中顯示一處理晶圓之機具。在此系統中,晶圓在各別處理腔中之停留時間係相同,在此實例中,處理站23係連成一線,且在此情形下各處理腔係兩兩隣接,包括從側邊隣接及上下隣接。在其端點設一昇降機25,可將處理中之晶圓由一層移至另一層。晶圓由入口26處進入,置於一支架,並在其移經整個系統之過程中保留在其上。在此種系統之一實例中,該支架將該晶圓升高到上方層之處理器之位置,該晶圓即依序由一處理腔23移至同層之另一處理腔,餘此類推。該昇降機25改變晶圓所在之高度,而其在該另一層中之移動,也是由一處理腔至下一處理腔,餘此類推。最後將晶圓移出系統。Please refer to Figure 2, which shows a tool for processing wafers. In this system, the residence time of the wafers in the respective processing chambers is the same, in this example, the processing stations 23 are connected in a line, and in this case the processing chambers are adjacent to each other, including from the side adjacent And adjacent to the top and bottom. An elevator 25 is provided at its end to move the wafer in process from one layer to another. The wafer enters from the inlet 26, is placed in a holder, and remains thereon as it moves through the system. In one example of such a system, the holder raises the wafer to the position of the processor in the upper layer, and the wafer is sequentially moved from one processing chamber 23 to another processing chamber in the same layer, and so on. . The elevator 25 changes the height at which the wafer is located, and its movement in the other layer is also from one processing chamber to the next processing chamber, and so on. Finally, the wafer is removed from the system.

現請參閱第3圖。圖中顯示多數處理腔31係沿輸送腔32作直線排列。晶圓經由EFEM(equipment front end module,裝置前端模組)33或其他相當之喂入裝置進入系統34。EFEM 33包括進入站30,其上可容FOUP(from front opening unified pod,前端開啟式統一規格晶圓傳送盒)。該FOUP(未圖示)包括一外殼或外包,以容納晶圓,並可在等待進入該處理程序之時間中,保持其潔淨。與該EFEM 33相配合的為一喂入機構,用來將晶圓置入系統中處理,以及在處理之後將晶圓由系統中移出,暫存。一晶圓之FOUP係置於該EFEM之上,其後從該FOUP一個接一個,以一刮刀將晶圓由該EFEM 33內之FOUP提起,攜帶該晶圓進入裝載區35,轉送而進入該系統。由該裝載區35起該晶圓又沿一輸送腔32移動,並由輸送腔32進入處理腔31。當一晶圓進入一處理腔後,該基板即離開該支撐臂,改而置在該處理腔內一基板支撐上。在此位置下,將一閥門關閉,以將該處理腔之環境與該輸送腔之大氣環境隔離。藉此可以單對該處理腔內部條件進行改變,而不致汙染到該輸送腔或其他處理腔。在完成處理之後,該隔離該處理腔與該輸送腔之閥門開啟,而晶圓也由該處理腔中移出,並沿該輸送腔32轉送到另一處理腔,以利其他處理,或者轉送到該裝載區,由此該晶圓可回到EFEM 33上之FOUP。在此圖中顯示4個處理腔31。圖中也顯示4個處理電源供應37,以及一電源分配單元36。以上之組合提供系統所需之電子,以及各別處理腔所需之電力。在該處理腔31之上為處理氣體箱38及資訊處理箱40。透這些單元,輸入系統之資訊控制該基板沿該輸送腔32之移動,以及控制該基板是否應轉送到一處理腔進行另外的處理。上述單元也可記錄在該處理腔中已經進行之處理。在處理過程中,氣體提供到各腔體以供使用。雖然用來將晶圓喂入系統及在該系統內各處理腔間移送之機械手係描述成具有2手臂之系統,但事實上也可使用多於2支手臂,各機械手臂可以設成在該輸送腔移動時,能獨立操作或一起操作。Please refer to Figure 3. The figure shows that most of the processing chambers 31 are arranged in a line along the transport chamber 32. The wafer enters system 34 via an EFEM (equipment front end module) 33 or other equivalent feed device. The EFEM 33 includes an entry station 30 on which a FOUP (from front opening unified pod) can be accommodated. The FOUP (not shown) includes a housing or outer cover to accommodate the wafer and can be kept clean while waiting for entry into the process. Cooperating with the EFEM 33 is a feeding mechanism for placing the wafer into the system for processing, and removing the wafer from the system for temporary storage after processing. A FOUP of a wafer is placed on top of the EFEM, and thereafter, one by one from the FOUP, the wafer is lifted by the FOUP in the EFEM 33 by a doctor blade, and the wafer is carried into the loading area 35, and transferred to the FOUP. system. From the loading zone 35, the wafer is moved along a transport chamber 32 and enters the processing chamber 31 by the transport chamber 32. When a wafer enters a processing chamber, the substrate exits the support arm and is placed on a substrate support in the processing chamber. In this position, a valve is closed to isolate the environment of the processing chamber from the atmosphere of the delivery chamber. Thereby, the internal conditions of the processing chamber can be changed without contaminating the delivery chamber or other processing chamber. After the processing is completed, the valve that isolates the processing chamber from the delivery chamber is opened, and the wafer is also removed from the processing chamber and transferred along the delivery chamber 32 to another processing chamber for other processing or forwarding. The loading area, whereby the wafer can be returned to the FOUP on the EFEM 33. Four processing chambers 31 are shown in this figure. Also shown are four processing power supplies 37, and a power distribution unit 36. The combination above provides the electronics required for the system, as well as the power required for each processing chamber. Above the processing chamber 31 is a process gas tank 38 and an information processing tank 40. Through these units, the information of the input system controls the movement of the substrate along the transport chamber 32 and controls whether the substrate should be transferred to a processing chamber for additional processing. The above unit can also record the processing that has been performed in the processing chamber. During processing, gas is supplied to each cavity for use. Although the robot used to feed the wafer into the system and transfer between the processing chambers within the system is described as a system with 2 arms, in fact more than 2 arms can be used, and the robot arms can be set to When the transport chamber moves, it can operate independently or together.

在一系統中之處理腔可以執行不同之處理步驟,一如在晶圓製作過程中所需者。現今許多晶圓廠所採購之設備,都是將整套系統設為提供單一功能,例如為濺射機或為蝕刻機。事實上在晶圓的製程中需使用相當的濺射或蝕刻步驟,因此一個4或以上之處理站系統可以全部只用來供濺射或蝕刻之用。反之,晶圓也可輸送經過一系列之操作,每一操作均為不同,直到最後之處理步驟。例如,在一5處理站之系統中,可以合理設計成以如下步驟處理:一開始在第1處理站係將晶圓置於一除氣操作;在第2步驟處理站則為一預清潔站;第3處理站為濺射站,用以濺鍍例如鈦;第4站為一濺射站,用以濺鍍例如鎳釩化物(nickel vanadium);而在第5站則用來濺鍍金。The processing chambers in a system can perform different processing steps, as required in the wafer fabrication process. The equipment purchased by many fabs today is designed to provide a single function, such as a sputtering machine or an etching machine. In fact, a considerable sputtering or etching step is required in the wafer process, so that one or more of the processing station systems can be used only for sputtering or etching. Conversely, the wafer can also be transported through a series of operations, each operation being different until the final processing step. For example, in a system of 5 processing stations, it can be reasonably designed to be processed in the following steps: initially, the wafer is placed in a degassing operation at the first processing station; and in the second step, the processing station is a pre-cleaning station. The third processing station is a sputtering station for sputtering, for example, titanium; the fourth station is a sputtering station for sputtering, for example, nickel vanadium; and the fifth station is for sputtering gold.

現請參照第4圖。圖中顯示一3處理站系統,其頂蓋已經去除。本圖之目的乃在提供對該輸送腔32之進一步理解。待處理之晶圓由裝載區35進入系統。裝載區35為一雙層之裝載具,而可同時保持及處理2片晶圓。其一位在較低層,另一位在較高層。由裝載區35進入系統之晶圓進入真空或經控制之環境。而經過處理之晶圓也經由裝載區35離開該系統,或由該系統中之真空或以其他方式控制之環境中,經由該裝載區35而回到該FOUP(圖中未顯示)。當一晶圓由一非真空條件轉換到一真空條件時,係將其提起到一機械手臂41,而移動進入輸送腔32。圖中僅顯示其中一機械手臂,另一者則被左側之第1處理腔阻擋視線。該可見之機械手臂正在將一晶圓移送進該處理腔31內。(反之,也可是在將已經處理之晶圓由該處理腔中移出。)機械手臂41在輸送腔中沿一直線軌道43移動。在本實施例中,位在該輸送腔32中之軌道將該支撐臂41保持在腔體32底板之上方。同時,該驅動機構(圖中未顯示)由該真空外部,透過該處理腔32外圍隔板提供驅動力。該驅動機構提供一大致上為直線之運動到該機械手臂41,並在該機械手臂需伸進一處理腔或該裝載區35時,提供旋轉運動。因此,該機械手臂係用來將一晶圓移入或移出該輸送腔32,進出該處理腔及進出該裝載區35。因不與各該腔體之底板接觸,可以產生較少的微粒,故可將環境維持在一潔淨或無粉塵之條件。該輸送系統更詳細之構造,將於以下依據圖式加以說明。同時,雖然在圖中只顯示2支手臂,但極為明顯,一個系統可以具有較2支更多或更少之機械手臂,裝載在一軌條上,用來同時管理2組以上之晶圓輸送裝置。Please refer to Figure 4 now. The figure shows a 3 processing station system with the top cover removed. The purpose of this figure is to provide a further understanding of the delivery chamber 32. The wafer to be processed enters the system from the loading zone 35. The loading area 35 is a two-layered loader that can hold and process two wafers simultaneously. One bit is at the lower level and the other is at the higher level. The wafer entering the system from the loading zone 35 enters a vacuum or controlled environment. The processed wafer also exits the system via loading area 35, or is returned to the FOUP (not shown) via the loading area 35 in an environment that is vacuumed or otherwise controlled in the system. When a wafer is switched from a non-vacuum condition to a vacuum condition, it is lifted to a robot arm 41 and moved into the delivery chamber 32. Only one of the robot arms is shown in the figure, and the other is blocked by the first processing chamber on the left side. The visible robot arm is transferring a wafer into the processing chamber 31. (Conversely, the wafer that has been processed is removed from the processing chamber.) The robot arm 41 moves along the alignment track 43 in the delivery chamber. In the present embodiment, the track in the delivery chamber 32 holds the support arm 41 above the bottom plate of the cavity 32. At the same time, the driving mechanism (not shown) provides driving force through the peripheral partition of the processing chamber 32 from the outside of the vacuum. The drive mechanism provides a substantially linear motion to the robot arm 41 and provides rotational motion as the robot arm needs to extend into a processing chamber or loading zone 35. Therefore, the robot arm is used to move a wafer into or out of the transport chamber 32, into and out of the processing chamber, and into and out of the loading region 35. Since it is not in contact with the bottom plate of each of the cavities, less particles can be generated, so that the environment can be maintained in a clean or dust-free condition. A more detailed construction of the delivery system will be described below in accordance with the drawings. At the same time, although only two arms are shown in the figure, it is extremely obvious that a system can have more or less robots than two, loaded on a rail to simultaneously manage more than two sets of wafer transport. Device.

依據本發明之一種方法,該支撐臂41係以組合旋轉運動與直線移動之方式操作,而使該晶圓只能作直線移動。其作法係如第4圖所示,該手臂41利用一直線移動(如圖中雙頭箭頭A所示)及一旋轉運動(如圖中雙頭箭頭B所示)之結合而移動。不過,其移動方式係以程式設計成使該晶圓之中心沿直線(如圖中所示之虛線BLl,BLm及BL)移動。以這種方式可使各該處理腔31及該裝載區35之開口,只需稍大於該晶圓之直徑。這種設計也使各種型態之處理腔以及各種組合之處理腔,都可以附設在該輸送腔32上。該機械手臂41結合直線移動及旋轉運動之操作,係由一控制器啟動,而該控制器可以透過例如使用者介面UI(第3圖),以程式控制成任何狀態。According to one method of the present invention, the support arm 41 operates in a combined rotational motion and linear motion to allow the wafer to move only in a straight line. The method is as shown in Fig. 4, and the arm 41 is moved by a combination of a linear motion (shown by a double-headed arrow A in the figure) and a rotational motion (shown by a double-headed arrow B in the figure). However, the mode of movement is programmed to move the center of the wafer along a straight line (dashed lines BL1, BLm, and BL as shown). In this way, the openings of the processing chambers 31 and the loading regions 35 can be made slightly larger than the diameter of the wafer. This design also allows various types of processing chambers as well as various combinations of processing chambers to be attached to the delivery chamber 32. The robot arm 41 is combined with a linear movement and a rotary motion operation, and is activated by a controller, and the controller can be programmed to any state through, for example, a user interface UI (Fig. 3).

依據本發明之一方法,該控制器係以下列步驟計算該手臂之直線-旋轉結合運動。首先決定該晶圓放置在該裝載區中之中心位置。並決定該晶圓位於各該連結之處理腔體內時之中心位置。也決定各該手臂之樞軸點。(注意:如上所述,2支機械手臂之樞軸點可設為相合。)接著決定輸送之順序,亦即決定是否各晶圓均需在該裝載區與單一處理腔,或多數處理腔間移動。利用UI將上述數值程式化入該控制器。其後,計算各手臂之直線移動及旋轉運動,使位在各機械手臂上之晶圓,在已決定之樞軸點與為該裝載區及各腔體經決定之中心點之間,只會作直線移動。According to one of the methods of the present invention, the controller calculates the linear-rotational combined motion of the arm in the following steps. First, the wafer is placed in the center of the loading area. And determining the center position of the wafer when it is located in each of the connected processing chambers. Also determine the pivot point of each arm. (Note: As mentioned above, the pivot points of the two robot arms can be set to match.) Then determine the order of delivery, that is, whether each wafer needs to be in the loading area and a single processing chamber, or between most processing chambers. mobile. The above values are programmed into the controller using the UI. Thereafter, the linear movement and the rotational movement of each arm are calculated so that the wafer positioned on each robot arm is only between the determined pivot point and the determined center point of the loading area and each cavity. Move in a straight line.

有部份原因是要簡化該手臂41直線-旋轉結合運動,在本發明一實施例中係使用下列技術特徵:在第4圖中,支撐臂41中之手臂41乃是完全可見。該手臂41耦合至一手臂延伸41’。而另一手臂41則是直接耦合到該內部驅動及支撐機構45(另見第5、6圖)。在上述之實施例中,該手臂延伸41’為固定,亦即,只容許該驅動及支撐機構45作直線移動,而不能轉動。而轉動則只提供給手臂41,該手臂41固定在該手臂延伸41’之端部。同時,在上述實施例中,該手臂延伸41’係加以固定,而使2支手臂41之旋轉中心或樞軸點相合,亦即如圖所示之直虛線BLm通過該兩手臂41旋轉中心或樞軸點。此外,如第5圖之實施例所示,該手臂41可沿一直線方向移動,而使2支手臂41之旋轉中心一對一完全相合。利用這種設計可以使2支手臂41在製作上完全相同,因其可由相同之樞軸點中心線,作相同之直線-旋轉結合運動。Part of the reason is to simplify the linear-rotational combined motion of the arm 41. In one embodiment of the invention, the following technical features are used: In Figure 4, the arm 41 in the support arm 41 is fully visible. The arm 41 is coupled to an arm extension 41'. The other arm 41 is directly coupled to the internal drive and support mechanism 45 (see also Figures 5 and 6). In the above embodiment, the arm extension 41' is fixed, i.e., only the drive and support mechanism 45 is allowed to move linearly, and cannot be rotated. The rotation is only provided to the arm 41 which is fixed at the end of the arm extension 41'. Meanwhile, in the above embodiment, the arm extension 41' is fixed, and the rotation center or the pivot point of the two arms 41 are matched, that is, the straight dotted line BLm as shown in the figure is rotated by the center of the two arms 41 or Pivot point. Further, as shown in the embodiment of Fig. 5, the arm 41 is movable in the straight line direction, and the center of rotation of the two arms 41 is completely matched one to one. With this design, the two arms 41 can be made identical in their production, since they can be made by the same pivot point centerline for the same linear-rotational combined motion.

現請參照第5圖。在圖中顯示系統34之數部份,而未以外蓋遮蔽其中央部份之元件。由裝載區35開始,連續到輸送腔32之始端,並包括一第1處理腔31。如本圖所示,在裝載區35有一晶圓42位在手臂41上。並顯示另一手臂41延伸入處理腔31。圖示之手臂係各別獨立操作,且可位於不同層次,並同時可延伸入不同之區域。手臂將晶圓沿輸送腔32移送,由裝載區進入系統,其後在系統內由一處理腔移動到另一處理腔。事實上,該手臂係在晶圓處理後將其沿輸送腔移送,進入裝置區35,其後移出系統34。當處理結束之後,該晶圓即在該裝載區中結集,而由該裝載區移回到該FOUP。在該裝載區或在處理腔中之晶圓,係提起到與該手臂配合之支撐表面,進行輸送。頂針設於該支撐表面,可將晶圓頂起,以使手臂進入晶圓下方,以利該手臂提起晶圓,並將該晶圓移動至該系統之下一階段。反之,也可使用一可在晶圓下方滑動之支架,以在該晶圓之輸送中支撐該晶圓,並可在進入、移出一處理腔或一區間時,保持該晶圓,以及收送該晶圓給機械手臂41。該2手臂之位置使其在互相上下交錯移動時,不會互相碰觸,而可互相錯過。手臂41連結到一內部驅動及支撐機構45。該驅動及支撐機構45具有一直線驅動軌道,使該驅動及支撐機構45在輸送腔32中可在其上移動。該驅動及支撐機構45之移動是以一外部驅動器,例如一馬達所驅動。其驅動之一種型式係使該驅動及支撐機構45沿該驅動軌道46作直線移動。另一種則是使手臂41轉動,以將其由該輸送腔32延伸進該裝載區35或處理腔31,使其能將晶圓送進該系統,及在系統內移送。在該驅動軌道46中有個別之軌條47(軌條47在第6圖中顯示更為清楚)。在軌條上獨立載送各驅動及支撐機構,以供位移,並使各手臂41互相獨立移動及操作。將一晶圓送進一處理腔之方式是使其沿其直線驅動路徑移動進入處理腔。能產生此結果之原因乃是在本發明較佳實例中,兩種型式之運動同時進行。亦即,直線運動與旋轉同時進行。而使用外部馬達或其他型式之驅動機構,可在該真空之輸送腔32中移動該驅動及支撐機構,避免不必要之粒子進入隔離之真空區域。Please refer to Figure 5 now. The number of portions of the system 34 is shown in the figures without the cover covering the central portion of the component. Starting from the loading zone 35, it continues to the beginning of the delivery chamber 32 and includes a first processing chamber 31. As shown in this figure, a wafer 42 is placed on the arm 41 in the loading zone 35. It is shown that the other arm 41 extends into the processing chamber 31. The illustrated arms are individually operated and can be located at different levels and can be extended into different areas. The arm transfers the wafer along the transport chamber 32, enters the system from the loading zone, and thereafter moves from one processing chamber to the other within the system. In effect, the arm is transported along the delivery chamber after wafer processing, into device area 35, and thereafter removed from system 34. When the process is complete, the wafer is collected in the loading area and moved back to the FOUP by the loading area. The wafer in the loading zone or in the processing chamber is lifted onto a support surface that mates with the arm for transport. A thimble is disposed on the support surface to lift the wafer to allow the arm to enter under the wafer to facilitate the arm lifting the wafer and moving the wafer to a lower stage of the system. Conversely, a holder that slides under the wafer can be used to support the wafer during transport of the wafer, and the wafer can be held and transferred as it enters or exits a processing chamber or a section. This wafer is given to the robot arm 41. The position of the 2 arms makes them not touch each other when they move up and down, but can miss each other. The arm 41 is coupled to an internal drive and support mechanism 45. The drive and support mechanism 45 has a linear drive track that allows the drive and support mechanism 45 to move thereon in the delivery chamber 32. The movement of the drive and support mechanism 45 is driven by an external drive, such as a motor. One type of drive is such that the drive and support mechanism 45 moves linearly along the drive track 46. The other is to rotate the arm 41 to extend it from the delivery chamber 32 into the loading zone 35 or processing chamber 31 to enable the wafer to be fed into the system and transferred within the system. There are individual rails 47 in the drive track 46 (the rails 47 are more clearly shown in Figure 6). The drive and support mechanisms are independently carried on the rail for displacement and the arms 41 are moved and operated independently of each other. A wafer is fed into a processing chamber by moving it along its linear drive path into the processing chamber. The reason for this result is that in the preferred embodiment of the invention, the two types of motion are performed simultaneously. That is, the linear motion and the rotation are performed simultaneously. Instead of using an external motor or other type of drive mechanism, the drive and support mechanism can be moved within the vacuum delivery chamber 32 to prevent unwanted particles from entering the isolated vacuum region.

現請參照第6圖,圖中顯示應用在本發明較佳實施例中之驅動系統。在此圖中,軌道46之軌條47分別可見。圖中也顯示晶圓42位在在該支撐臂41之一者上。另一機械手臂則僅顯示其延伸。驅動及支撐機構45各裝載在其軌條47上。以此方式可使手臂41各自位在不同層次上。一磁頭或磁性耦合跟隨器48位在各該驅動及支撐機構45之基座上。而與該磁頭48相隔的,則為一磁性驅動器50。該磁頭48係位於該輸送腔之真空中,而該真空腔之隔板(示於第7圖,元件53)則通過各磁頭48下方,而位在該磁頭48與該磁性驅動器50之間。因此,該驅動器50位於該輸送腔32之真空隔板外部。一如上述,手臂41可將晶圓42移送進入該處理系統,並在其內移動,而手臂41互相獨立操作。該等手臂41係由一磁性耦合裝置驅動,該裝置包括驅動器50及磁頭48。耦合裝置提供直線移動與旋轉運動至手臂41。驅動器50裝載在外部軌條51上,該外部軌條51位在該真空之外,而呈現在該軌道系統兩側。圖中可見其中一組互相面對。另一組軌條則位於相反側。手臂之轉動是由旋轉馬達52所驅動,並由該磁性耦合裝置傳送。雖然在本圖中,磁性耦合係顯示成用來同時驅動直線移動及轉動,但極為明顯,也可使用個別之磁性耦合裝置及驅動器來達成。因此,雖然較好使用同一耦合裝置傳送直線移動及旋轉運動,但也可能使用分別之耦合裝置,以一組作直線移動之用,而以另一組作旋轉運動之用。Referring now to Figure 6, there is shown a drive system for use in a preferred embodiment of the present invention. In this figure, the rails 47 of the track 46 are respectively visible. The figure also shows that the wafer 42 is on one of the support arms 41. The other robotic arm only shows its extension. The drive and support mechanisms 45 are each loaded on their rails 47. In this way, the arms 41 can each be positioned at different levels. A magnetic head or magnetic coupling follower 48 is located on the base of each of the drive and support mechanisms 45. Isolated from the magnetic head 48 is a magnetic actuator 50. The head 48 is located in the vacuum of the transfer chamber, and the spacer of the vacuum chamber (shown in Figure 7, element 53) passes under each head 48 and is positioned between the head 48 and the magnetic drive 50. Therefore, the driver 50 is located outside the vacuum diaphragm of the delivery chamber 32. As described above, the arm 41 can transfer the wafer 42 into and out of the processing system, while the arms 41 operate independently of each other. The arms 41 are driven by a magnetic coupling device that includes a driver 50 and a magnetic head 48. The coupling device provides linear movement and rotational movement to the arm 41. The driver 50 is loaded on an outer rail 51 which is located outside of the vacuum and which is present on both sides of the rail system. It can be seen that one of the groups faces each other. The other set of rails is on the opposite side. The rotation of the arm is driven by the rotary motor 52 and transmitted by the magnetic coupling device. Although in this figure, the magnetic coupling system is shown to be used to simultaneously drive linear movement and rotation, it is extremely obvious and can be achieved using individual magnetic coupling devices and actuators. Therefore, although it is better to use the same coupling device to transmit linear motion and rotational motion, it is also possible to use separate coupling devices for one group for linear movement and another group for rotational motion.

一種可用來在該輸送腔32中移動及操作該晶圓,包括靜止在該處理站31之手臂,係包括一種選擇性順從肢接組合機械手臂(selective compliant articulated assembly robotic arm),縮寫成SCARA機械手。SCARA機械手系統比起所稱之Cartesian系統,具有更快速、更潔淨之優點,而可能取代後者。A type of arm that can be used to move and operate the wafer in the delivery chamber 32, including the stationary arm at the processing station 31, includes a selective compliant articulated assembly robotic arm, abbreviated to SCARA machinery. hand. The SCARA manipulator system has the advantage of being faster and cleaner than the so-called Cartesian system, and may replace the latter.

同時也為要降低及/或避免與該磁性驅動系統有關之負載因素,可以使用排斥磁鐵,以降低該移動耦合磁塊所產生之吸引力。而耦合該旋轉及直線運動進該真空之磁塊則具有相當量之吸引力。該吸引力負載支撐該元件之機械構造。負載越高表示耐用性越短,產生微粒越多。利用位於該磁性耦合裝置內,或在一分離之裝置中之磁塊,產生排斥力,可降低該吸引力。事實上,在該磁性耦合中,最中間之磁塊對獲得耦合之穩定度而言,作用並不明顯。但此等磁塊卻可用來對提供吸引之耦合磁塊產生排斥力,其方式乃是將之設成在該耦合裝置直徑上相反之N-S位置。At the same time, in order to reduce and/or avoid load factors associated with the magnetic drive system, a repulsive magnet can be used to reduce the attractive force generated by the mobile coupled magnet. The magnetic block that couples the rotation and linear motion into the vacuum has a considerable amount of attractive force. This attractive load supports the mechanical construction of the component. Higher loads indicate less durability and more particles. The attraction force can be reduced by using a magnetic block located in the magnetic coupling device or in a separate device to reduce the attractive force. In fact, in this magnetic coupling, the most intermediate magnetic block does not contribute significantly to the stability of the coupling. However, such magnetic blocks can be used to create a repulsive force on the coupled magnetic blocks that provide attraction by setting the N-S position opposite the diameter of the coupling device.

當然,如果在應用上並不擔心在密閉處理腔中產生顆粒粉塵,則該驅動機構可以包含在該密閉腔體之中。Of course, if there is no fear of application of particulate dust in the closed processing chamber, the drive mechanism can be included in the closed chamber.

現請參考第7圖,圖中顯示該軌道及該驅動系統之側視圖,但外蓋已經移除。在該圖中該真空隔牆或真空隔板53係顯示為位在該磁性耦合裝置48與50之間,該耦合裝置用來驅動及控制手臂41之位置。驅動軌道46包含軌條47,用以提供由外部軌條51所提供之直線移動予驅動及支撐機構45,並及於該手臂41。而旋轉運動則由旋轉馬達52提供。在第7圖中,該註記Va是在真空中,而註記At則是在大氣中。如第7圖所示,磁性耦合裝置50是由旋轉馬達52所驅動,而使耦合裝置48因為穿過該真空隔板53之磁性耦合,而依循相同之旋轉運動。不過,由於該磁性耦合裝置內之遲滯作用,該機械手臂之轉動精確度可能降低。事實上由於手臂之長度關係,在耦合裝置48-50上微小的角度錯誤,即可能引發置於該手臂41端點之晶圓嚴重誤置。同時,也因為該手臂之長度與重量,以及因手臂支撐與未支撐一晶圓時重量之不同,傳動之動力可能滯留一過長之時間。為避免此等問題,使用一減速齒輪(通常稱為減速器或齒輪減速器)55插置在該耦合裝置48與該轉動耦合器56或與手臂41之間。該齒輪減速器55之輸入為該磁性耦合器48之轉動,而提供一速度較慢之輸出,用以啟動該手臂於一慢於該馬達52轉速之轉動。在此特定實例中,該齒輪減速器設定其減速比例為50:1。以此方式大大提高該手臂41之角度位置準確性,減少瞬間運動,並縮短會發生在已知驅動組成之停滯時間。Please refer to Figure 7 for a side view of the track and the drive system, but the cover has been removed. In the figure, the vacuum partition or vacuum diaphragm 53 is shown positioned between the magnetic coupling devices 48 and 50 for driving and controlling the position of the arm 41. The drive track 46 includes a rail 47 for providing a linear motion pre-drive and support mechanism 45 provided by the outer rail 51 and to the arm 41. The rotary motion is provided by the rotary motor 52. In Figure 7, the annotation Va is in a vacuum and the annotation At is in the atmosphere. As shown in Fig. 7, the magnetic coupling device 50 is driven by the rotary motor 52 to cause the coupling device 48 to follow the same rotational motion due to the magnetic coupling through the vacuum diaphragm 53. However, due to the hysteresis in the magnetic coupling device, the rotational accuracy of the robot arm may be lowered. In fact, due to the length of the arm, a slight angular error in the coupling device 48-50 may cause a serious misplacement of the wafer placed at the end of the arm 41. At the same time, because of the length and weight of the arm, and the difference in weight between the arm support and the unsupported wafer, the power of the drive may be retained for an extended period of time. To avoid such problems, a reduction gear (commonly referred to as a retarder or gear reducer) 55 is interposed between the coupling device 48 and the rotary coupler 56 or with the arm 41. The input of the gear reducer 55 is the rotation of the magnetic coupler 48 to provide a slower output for activating the arm to rotate at a slower speed than the motor 52. In this particular example, the gear reducer is set to have a deceleration ratio of 50:1. In this way, the angular position accuracy of the arm 41 is greatly improved, the instantaneous movement is reduced, and the lag time that occurs with the known drive composition is shortened.

在第7圖中該齒輪減速組成55係設於該基座49之上。基座49並無動力化,而自由裝載在直線軌條47上。反之,旋轉馬達52則是負載在基座54上,而基座54則裝載在直線軌條51上,並以機械性動力驅動。由於該機械性動力以直線移動基座54,該磁性耦合裝置50與磁性跟隨器48之磁性耦合可提供直線移動給該自由裝載之基座49,因而直線推動該手臂41。其結果可達成此種設計之優點,即所有動力化之運動,亦即直線移動與旋轉,都在大氣條件下產生,故而並無任何動力化系統位在該真空環境中。有許多種方式可以在大氣中達成該動力化運動,並在該真空中達成自由無動力化運動。以下將加以說明,作為範例。In Fig. 7, the gear reduction component 55 is attached to the base 49. The base 49 is not motorized and is free to be loaded on the linear rail 47. Conversely, the rotary motor 52 is loaded on the base 54, and the base 54 is loaded on the linear rail 51 and is driven by mechanical power. Since the mechanical power moves the base 54 in a straight line, the magnetic coupling of the magnetic coupling device 50 with the magnetic follower 48 provides a linear movement to the freely loaded base 49, thereby linearly pushing the arm 41. The result is the advantage of this design, that is, all motorized motion, ie linear motion and rotation, are generated under atmospheric conditions, so that no motorized system is in the vacuum environment. There are many ways to achieve this motorized motion in the atmosphere and to achieve free and non-powered motion in this vacuum. This will be explained below as an example.

第7A圖即顯示直線移動組成之一例。在第7A圖中有一傳動帶或一鏈條驅動器耦合至一基座54。該傳動帶或鏈條58裝載在一轉子59上,其中之一係經動力化,用以產生對任一方向之運動,如圖中箭頭C所示。為控制該直線移動,以一編碼器57a送出信號至一控制器,指定該基座54之直線移動。例如,該編碼器57a可為一光學編碼器之可讀編碼,提供在一直線軌道46上。此外,也提供一旋轉編碼器47b至該馬達52,且也送出一旋轉運動之編碼至該控制器。該旋轉及直線移動之讀值可以用來控制該手臂41之旋轉及直線移動,以使該晶圓之中心線只以直線移動。Fig. 7A shows an example of the composition of linear movement. In Fig. 7A a drive belt or a chain drive is coupled to a base 54. The belt or chain 58 is loaded onto a rotor 59, one of which is motorized to produce movement in either direction, as indicated by arrow C in the figure. To control the linear movement, an encoder 57a sends a signal to a controller to specify the linear movement of the base 54. For example, the encoder 57a can be a readable code for an optical encoder provided on a linear track 46. In addition, a rotary encoder 47b is also provided to the motor 52, and a code of rotational motion is also sent to the controller. The rotation and linear movement readings can be used to control the rotation and linear movement of the arm 41 such that the centerline of the wafer moves only in a straight line.

第7B圖為第4圖沿A-A線之截面圖。圖中顯示該直線移動組成之另一實施例。在第7B圖中驅動軌道46支持軌條47,其上裝載軌輪61及62。該軌輪61、62可以磁性化,以提供更優異之吸附力。該軌輪61、62耦合至該基座54,其上則裝置該旋轉馬達52。一直線馬達63裝置在基座54之下方,而與一列磁塊64互動。磁塊64裝置在驅動軌道46之上。該直線馬達63與磁塊64互動後,產生一直線動力予移動基座54,使其向頁面進出之方向移動。該基座54之直線馬達可由編碼器57b加以監視,並產生報告,該編碼器57讀出在該軌道46上所提供之位置/移動編碼57c。在此特定之實施例中,該編碼器57b具有一500分之1吋(0.05mm)之精密度。Fig. 7B is a cross-sectional view taken along line A-A of Fig. 4. Another embodiment of the linear movement composition is shown in the figure. In Fig. 7B, the drive rail 46 supports rails 47 on which rails 61 and 62 are loaded. The rails 61, 62 can be magnetized to provide superior adsorption. The rails 61, 62 are coupled to the base 54 on which the rotary motor 52 is mounted. A linear motor 63 is disposed below the base 54 to interact with a column of magnetic blocks 64. The magnetic block 64 is mounted above the drive track 46. The linear motor 63 interacts with the magnetic block 64 to generate a linear power to the moving base 54 to move in the direction in which the page enters and exits. The linear motor of the base 54 can be monitored by an encoder 57b and produces a report that reads the position/movement code 57c provided on the track 46. In this particular embodiment, the encoder 57b has a precision of 1/500 (0.05 mm).

第7C圖為在大氣中之一直線軌道及一在真空中之直線軌道之一例之截面圖。該真空側以VA表示,而該大氣側則以AT表示,兩者以一真空隔板53及該腔體隔板32加以隔離。在該大氣側,滑具61在直線軌道47上滑行。由於此側為大氣側,可能產生之微粒即不如在真空側影響重大。因此,滑具61可以具有滑輪,或可僅以滑動材料,例如鐵氟龍製作。該基座54附設在該滑具61,並支撐該旋轉馬達,而馬達轉動該磁性耦合裝置50。另外,在該真空側,直線軌道78係用來接受滑動軸承73。滑動軸承73以耦合裝置72附設在基座70。上述元件可以不銹鋼製作,並使其微粒產生減至最小。此外,也提供蓋體74、76,以將所產生之微粒保留在該軸承組成之範圍內。該基座70延伸至該軸承組成之外,並支持該齒輪減速器55。該齒輪減速器55耦合至該磁性跟隨器48。Fig. 7C is a cross-sectional view showing an example of a linear orbit in the atmosphere and a linear orbit in a vacuum. The vacuum side is indicated by VA and the atmospheric side is indicated by AT, which is isolated by a vacuum diaphragm 53 and the chamber partition 32. On the atmospheric side, the ram 61 slides on the linear track 47. Since this side is the atmospheric side, the particles that may be produced are not as important as the vacuum side. Therefore, the slider 61 may have a pulley or may be made only of a sliding material such as Teflon. The base 54 is attached to the slider 61 and supports the rotary motor, and the motor rotates the magnetic coupling device 50. Further, on the vacuum side, the linear rail 78 is used to receive the sliding bearing 73. The sliding bearing 73 is attached to the base 70 by a coupling device 72. The above components can be made of stainless steel and minimize particle generation. In addition, covers 74, 76 are also provided to retain the generated particles within the range of the bearing composition. The base 70 extends beyond the bearing assembly and supports the gear reducer 55. The gear reducer 55 is coupled to the magnetic follower 48.

第7D圖顯示一位在大氣中之直線軌道及一位在真空中之直線軌道之另一例。在第7D圖中,其大氣側可設成與第7C圖中所示相同。不過,為減少污染,在真空側係使用磁浮設計,而不使用滑具軸承機制。如第7D圖所示,主動電磁組成80與永久磁鐵82共同形成磁浮機制,而使基座可作自由直線移動。請注意:該永久磁鐵82保持一自由空間84,且不與電磁組成80接觸。在基座54與滑具61直線移動時,在磁性耦合裝置50與跟隨器48間之磁性耦合產生一直線運動,並提供至該浮起之基座70。與此相同,耦合裝置50之轉動也帶動跟隨器48之轉動,而將該轉動傳遞到齒輪減速器55。Figure 7D shows another example of a linear orbit in the atmosphere and a linear orbit in vacuum. In Fig. 7D, the atmosphere side thereof can be set to be the same as that shown in Fig. 7C. However, to reduce pollution, a maglev design is used on the vacuum side instead of a skid bearing mechanism. As shown in Fig. 7D, the active electromagnetic component 80 and the permanent magnet 82 together form a maglev mechanism that allows the susceptor to move freely in a straight line. Please note that the permanent magnet 82 maintains a free space 84 and is not in contact with the electromagnetic composition 80. When the base 54 and the slider 61 move linearly, the magnetic coupling between the magnetic coupling device 50 and the follower 48 produces a linear motion and is supplied to the floating base 70. Similarly, the rotation of the coupling device 50 also drives the rotation of the follower 48, which is transmitted to the gear reducer 55.

再參考第8圖。圖中顯示一依據本發明之處理系統。在第3圖之實例中,EFEM 33接收並存放晶圓,以提供至系統34,包括處理腔31。在本實施例中該處理腔特別顯示為用來作濺鍍之處理腔。在此系統中,晶圓首先輸送到裝載區35,其後沿輸送腔或轉送腔移動。經過處理之晶圓則沿該輸送腔32回饋到裝載區35,其後自系統取出,放到EFEM 33。Referring again to Figure 8. A processing system in accordance with the present invention is shown. In the example of FIG. 3, EFEM 33 receives and stores wafers for supply to system 34, including processing chamber 31. In the present embodiment, the processing chamber is particularly shown as a processing chamber for sputtering. In this system, the wafer is first delivered to the loading zone 35 and thereafter moved along the delivery or transfer chamber. The processed wafer is fed back to the loading zone 35 along the transfer chamber 32 and thereafter removed from the system and placed in the EFEM 33.

現請參閱第9圖。圖中顯示一根據本發明之8站處理系統。EFEM 33將晶圓喂入裝載區35。晶圓其後沿該輸送腔32移動,而由輸送腔32移至處理腔31。在此圖中兩組輸送腔均位於中央區域,而處理腔31則位於該兩側區域。在第10圖中該處理段全部連成一線,故一組處理腔全部複製成另一組。藉此,該系統之處理腔形成平行之形狀。Please refer to Figure 9. The figure shows an 8-station processing system in accordance with the present invention. The EFEM 33 feeds the wafer into the loading zone 35. The wafer is then moved along the delivery chamber 32 and moved by the delivery chamber 32 to the processing chamber 31. In this figure, the two sets of delivery chambers are located in the central area, while the processing chamber 31 is located in the two side areas. In the figure 10, the processing sections are all connected in a line, so that one set of processing chambers is completely copied into another group. Thereby, the processing chamber of the system forms a parallel shape.

其他變化例目前已可能產生且能輕易理解。例如,不使用將處理腔連成一線,如第9圖、第10圖所示之方式,而將處理腔堆疊,使一組在另一組之上,或一組在另一組之後,也屬可行。如果是將多組連接,一組連在另一組之後,則其連結方式,可使兩組連結後,第2組連續在第1組後,或者使第2組與第1組形成一角度而相連。由於一輸送腔實可用來對該輸送腔任一側之處理腔喂入晶圓,一個輸送腔可由2組處理腔加以包圍,而以同一輸送腔來喂入晶圓。見第11圖,圖中與之前所述圖中相同之元件,均標以相同之代號。在第11A及第11B圖所增加之閥門39係用以將處理腔31與該輸送腔32隔離,一如上述。如果第2組處理腔為第1組處理腔之延續,則有時可以在系統中增加裝載區,以提供更多功能。同時也當然可以增加一EFEM在另一終端,而在該EFEM之前,設置一裝載區,而使晶圓可以沿一直線,由一端進入,而由另一端離開。見第11B圖,其中與之前所述相同之元件,均標以相同之編號。在後者之情形下,該晶圓可以控制成由任一或同一終端進、出該系統。同時也可能將處理腔沿該輸送腔,以不規則之間隔設置,或在處理腔間保留一間隔。在本發明之設計下,其主要特徵乃在於該輸送腔之位置設計,而可任意將晶圓喂入於各別處理腔,並由系統之電腦控制加以主導。Other variations are now possible and can be easily understood. For example, instead of using the processing chambers in a line, as shown in Figures 9 and 10, the processing chambers are stacked such that one group is above another group, or one group is after another group. It is feasible. If multiple groups are connected and one group is connected to another group, the connection mode may be such that after the two groups are connected, the second group is continuously after the first group, or the second group is formed at an angle with the first group. And connected. Since a delivery chamber can be used to feed the processing chamber on either side of the delivery chamber, one delivery chamber can be surrounded by two sets of processing chambers, and the same delivery chamber can be used to feed the wafer. See Fig. 11, in which the same elements as in the previous figures are labeled with the same code. The valve 39, added in Figures 11A and 11B, is used to isolate the processing chamber 31 from the delivery chamber 32, as described above. If the second set of processing chambers is a continuation of the first set of processing chambers, then sometimes the loading area can be added to the system to provide more functionality. At the same time, it is of course possible to add an EFEM to the other terminal, and before the EFEM, a loading area is provided so that the wafer can be in a straight line, entering from one end and leaving at the other end. See Figure 11B, in which the same elements as previously described are labeled with the same reference numerals. In the latter case, the wafer can be controlled to enter and exit the system by either or the same terminal. It is also possible to arrange the processing chambers along the delivery chamber at irregular intervals or to maintain a space between the processing chambers. Under the design of the present invention, the main feature is the position design of the transport chamber, and the wafer can be fed to each processing chamber arbitrarily and controlled by the computer control of the system.

在習知技術中已經廣泛使用一種tandem處理腔,在該處理腔中各腔均設成用來處理2相鄰接之晶圓。不過,在這種習知技術之系統中,是使用大型主機架構與機械手,其設計乃在用以永遠都是裝載2片晶圓,兩者之間距離為固定。換言之,該已知技術之2支tandem裝載用機械手臂並無法分別加以控制,兩者之間距也是設成固定值。其結果該主機架構、該裝載區、該處理腔之架構完全受限於2片晶圓必須是以該固定距離相間之安排。此外,在應用上更需注意確保在該系統中,所有構件,包括裝載區、機械手、腔內之夾具等等,都必須調校成完全相同之操作距離。這些事項對於系統設計、操作及維護,設定了相當大的限制與負擔。A tandem processing chamber has been widely used in the prior art, in which each chamber is configured to process 2 adjacent wafers. However, in this prior art system, a mainframe architecture and a robot are used, which are designed to always load two wafers with a fixed distance between them. In other words, the two tandem loading robots of the prior art cannot be separately controlled, and the distance between them is also set to a fixed value. As a result, the architecture of the host architecture, the loading area, and the processing chamber are completely limited by the arrangement of the two wafers at the fixed distance. In addition, it is important to ensure that all components, including loading areas, robots, fixtures in the cavity, etc., must be tuned to the exact same operating distance in the system. These matters impose considerable limitations and burdens on system design, operation, and maintenance.

但在本發明之系統下,各腔則可簡單設定成具適應功能之tandem腔,而有提高之設計自由度與降低的調校及維護要求。第12圖即顯示本發明主機系統一實施例應用在tandem型處理腔之範例。該主機系統包括該直線輸送腔1232,具有多數機械手臂12、41及1243,各該機械手臂互相獨立移動,以及一單層裝載腔1235。為顯示本發明之多變化性,再此實例中是使用一單層,換言之,為非tandem之裝載腔1235。請注意,與已知技術中,一主機使用tandem腔之情形中,該裝載區也必須是tandem型裝載腔不同,本發明由於使機械手獨立操作,只要使用一單層裝載區,即可將晶圓裝載到該tendem處理腔中。例如,2片晶圓在該裝載區1235可以排成一者在另一者上方。此時可由一機械手取得下方之晶圓,另一機械手取得上方之晶圓。其後各機械手將其晶圓放置在該tandem腔之一側。根據本發明之實施例之技術特徵,各機械手可將晶圓放置在該tandem處理腔之任一側。換言之,與習知技術必須將機械手臂與所使用之處理腔作一對一之對應,亦即右側之機械手臂只能負責裝載該tandem處理腔之右側之設計不同,在本發明中任何機械手臂均可對該tandem處理腔之任何側做裝載。However, in the system of the present invention, each cavity can be simply set to a functioning tandem cavity with improved design freedom and reduced adjustment and maintenance requirements. Fig. 12 is a view showing an example in which an embodiment of the host system of the present invention is applied to a tandem type processing chamber. The mainframe system includes the linear transport chamber 1232 having a plurality of mechanical arms 12, 41 and 1243, each of which moves independently of each other, and a single layer loading chamber 1235. To illustrate the variability of the present invention, a single layer, in other words, a non-tandem loading chamber 1235, is used in this example. Please note that in the case of a known technique, when a host uses a tandem cavity, the loading zone must also be a tandem type loading cavity. The present invention allows the robot to operate independently, as long as a single layer loading zone is used. The wafer is loaded into the tendem processing chamber. For example, two wafers can be arranged one above the other in the loading zone 1235. At this time, the lower wafer can be obtained by one robot, and the other robot can obtain the wafer above. Thereafter, each robot places its wafer on one side of the tandem cavity. According to a technical feature of an embodiment of the present invention, each robot can place a wafer on either side of the tandem processing chamber. In other words, it is necessary to have a one-to-one correspondence between the robot arm and the processing chamber used, that is, the robot on the right side can only be responsible for loading the right side of the tandem processing chamber. The design of any robot arm in the present invention is different. Any side of the tandem processing chamber can be loaded.

在第12圖之實例中,乃是使用5個處理腔,分別為1201、1203、1205、1207及1209,裝置在該輸送腔1232上。各個處理腔1201、1203、1205形成一tandem腔,用來同時處理2片晶圓。處理腔1201、1205顯示其被上蓋覆蓋,但處理腔1203則顯示其上蓋已經移除之情形。本發明之系統有一項重要優點,就是各個tandem處理腔之晶圓間距,亦即其中之晶圓中心與晶圓中心之距離,不需相同。例如,該處理腔1205之晶圓間距,於圖中以X表示。該X之值不需與處理腔1203之晶圓間距(標示為Y)相同。在本發明中,係使機械手臂訓練成可以辨認位在該輸送腔上之各該處理腔中,處理區域之中心,而使各機械手臂可以將晶圓遞送到任何處理區域,而將晶圓準確放置在其中心位置。不但如此,在習知技術之系統中,在各該tandem處理腔中及在該裝載區中,均必須設置一閥件。但在本發明中,由於該機械手臂為獨立操作,各處理區域可具有其自己之獨立隔離閥。如腔1201所使用之閥件1251及1253,也可使用單一閥件,如腔1203所使用之閥件1255。In the example of Fig. 12, five processing chambers, 1201, 1203, 1205, 1207, and 1209, are used, respectively, on the delivery chamber 1232. Each of the processing chambers 1201, 1203, 1205 forms a tandem cavity for processing two wafers simultaneously. The processing chambers 1201, 1205 are shown covered by the upper cover, but the processing chamber 1203 shows the situation where the upper cover has been removed. An important advantage of the system of the present invention is that the wafer pitch of each tandem processing chamber, that is, the distance between the center of the wafer and the center of the wafer, need not be the same. For example, the wafer pitch of the processing chamber 1205 is indicated by X in the figure. The value of X does not need to be the same as the wafer pitch (labeled Y) of the processing chamber 1203. In the present invention, the robot arm is trained to recognize the center of the processing area in each of the processing chambers on the transport chamber, so that each robot arm can deliver the wafer to any processing area, and the wafer is Accurately placed in its center. Moreover, in prior art systems, a valve member must be provided in each of the tandem processing chambers and in the loading region. However, in the present invention, since the robot arm is operated independently, each processing region may have its own independent isolation valve. For valve members 1251 and 1253 used in chamber 1201, a single valve member, such as valve member 1255 used in chamber 1203, may also be used.

使用一tandem腔之優點之一在於可以在每2個tandem處理區共享資源。例如,腔1201有2個處理區域共享氣供應源1210及真空泵1212。換言之,雖然各個處理區域都有其自用之氣體供應機構1214及1216,為例如噴灑頭及其相關元件,該兩處理區之氣體供應機構卻是耦合到同一之氣體供應源1210,例如為氣體stick。該真空泵1212可以連接到一排氣manifold,延伸到兩個處理區域,藉此將兩處理區域保持在相同之壓力下。其它元件,例如RF電源也可以讓兩個處理區域共用,也可分別對各個處理區域設置。One of the advantages of using a tandem cavity is that resources can be shared in every 2 tandem processing areas. For example, the chamber 1201 has two processing regions sharing a gas supply source 1210 and a vacuum pump 1212. In other words, although each treatment zone has its own gas supply mechanisms 1214 and 1216, such as a showerhead and its associated components, the gas supply mechanisms of the two treatment zones are coupled to the same gas supply source 1210, such as a gas stick. . The vacuum pump 1212 can be coupled to an exhaust manifold extending to two processing zones whereby the two processing zones are maintained at the same pressure. Other components, such as RF power, can also be shared between the two processing regions, or individually for each processing region.

腔1207與腔1209共同形成一複合單tandem處理腔。換言之,腔1207與腔1209各別設計成用來處理單一晶圓。不過,在本實施例中則揭示該tandem處理腔之若干技術特徵。例如,處理氣體供應源1211及真空泵1213可另兩腔共用。電源及偏壓能源可以由相同或分別之電源供應來供應。可以選用鍵1202來將兩腔加以連結,而設置到該系統中,並使其提供單一tandem腔之功能,但卻不會有較大型之tandem處理腔所會具有之複雜度與高製作成本。Cavity 1207 and cavity 1209 together form a composite single tandem processing chamber. In other words, cavity 1207 and cavity 1209 are each designed to process a single wafer. However, several technical features of the tandem processing chamber are disclosed in this embodiment. For example, the process gas supply source 1211 and the vacuum pump 1213 can be shared by the other two chambers. The power supply and bias energy can be supplied by the same or separate power supplies. The key 1202 can be used to connect the two chambers to the system and provide a single tandem cavity function, but without the complexity and high manufacturing cost of the larger tandem processing chamber.

第13圖顯示本發明系統另一實施例,該實施例具有2tandem腔1301及1305,2個獨立之單一晶圓腔1303及1304,以及1複合單一tandem腔,具有腔1307與1309。也就是說,由於使用本發明之系統1332,其中該機械手1341及1343為獨立操作,因此不需使得各腔之晶圓間距均為相同,因此在本發明中可以混用晶圓間距相同或不同之tandem腔,以及單一晶圓腔。由於機械手1341及1343可以互相錯過運動,故可同時對個別之tandem腔做裝載。同時,該機械手1341及1343也可以對該單一晶圓腔個別獨立或一起做裝載,而可達到具有tandem腔裝置之產率,卻不需使用複雜之tandem腔之目的。Figure 13 shows another embodiment of the system of the present invention having 2tandem cavities 1301 and 1305, two separate single wafer cavities 1303 and 1304, and a composite single tandem cavity having cavities 1307 and 1309. That is to say, since the system 1332 of the present invention is used, wherein the robots 1341 and 1343 are operated independently, it is not necessary to make the wafer pitches of the respective cavities the same, so that the wafer spacing can be the same or different in the present invention. The tandem cavity, as well as a single wafer cavity. Since the robots 1341 and 1343 can miss each other's movements, the individual tandem chambers can be loaded at the same time. At the same time, the robots 1341 and 1343 can also individually or together load the single wafer cavity, and can achieve the yield of the tandem cavity device without using a complex tandem cavity.

第13圖所示之實例另一種特徵乃是使用單一之中央隔離閥1357,用來裝載一tandem腔1305。如圖所示,該隔離閥1357具有僅能使單一晶圓通過之尺寸。不過,如圖中之彎曲箭頭所示,有2片晶圓裝載進入該tandem腔1305中。這種效果在已知技術中,並不可能提供。Another feature of the example shown in Figure 13 is the use of a single central isolation valve 1357 for loading a tandem chamber 1305. As shown, the isolation valve 1357 has a size that allows only a single wafer to pass. However, as shown by the curved arrows in the figure, two wafers are loaded into the tandem cavity 1305. This effect is not possible in the known art.

第14圖顯示另一實施例,其中是將不同型態之處理腔附著在該直線形輸送腔1432上。在本實例中,是將一多晶圓處理腔1405、一三重tandem腔1401、一單一腔1404及一複合單一tandem腔1407及1409連結到本發明之系統。腔1405可為一傳統批次處理腔,例如為一熱或電漿改良CVD腔,具有4晶圓處理站,亦即其中定義4個圍成環形之處理區域。該處理站可以同時裝載1或2片晶圓。單一腔1404可為一單一基板處理腔或為一堆疊式多晶圓冷卻站。例如可為一多(例如25)片晶圓堆疊式冷卻站。此外,由於在本發明中該機械手為獨立運作,tandem處理並不限於每次處理2片晶圓。在本實例中,是顯示一三片基板tandem處理腔,而可每次處理3片晶圓。由於在此僅顯示2支機械手,其中一機械手需多運行一趟,才能完成全部的裝載在腔1401中。此時也可使用多於2支機械手,如第15圖所示。另一種選用之特徵也顯示在第14圖中,亦即使用「青蛙腿」,即通稱為SCARA之「選擇性順從肢接組合機械手臂」。機械手臂1441及1443負載於該直線導軌上,與其他實施例相同。Figure 14 shows another embodiment in which different types of processing chambers are attached to the linear delivery chamber 1432. In the present example, a multi-wafer processing chamber 1405, a triple tandem chamber 1401, a single chamber 1404, and a composite single tandem chamber 1407 and 1409 are coupled to the system of the present invention. The chamber 1405 can be a conventional batch processing chamber, such as a thermal or plasma modified CVD chamber, having 4 wafer processing stations, i.e., defining four processing zones that are annular. The processing station can load 1 or 2 wafers simultaneously. The single cavity 1404 can be a single substrate processing chamber or a stacked multi-wafer cooling station. For example, it can be a multiple (eg, 25) wafer stacked cooling station. Furthermore, since the robot is operated independently in the present invention, tandem processing is not limited to processing two wafers at a time. In this example, a three-piece substrate tandem processing chamber is shown, and three wafers can be processed at a time. Since only two robots are shown here, one of the robots needs to run one more to complete the loading in the cavity 1401. More than 2 robots can also be used at this time, as shown in Figure 15. Another feature of choice is also shown in Figure 14, which is the use of "frog legs", known as SCARA's "selective obedience combination arm." The robot arms 1441 and 1443 are loaded on the linear guide, which is the same as the other embodiments.

在第14圖之實施例中也使用一tandem堆疊型裝載腔1435,亦即可使晶圓形成兩鄰接之堆疊。雖然該裝載區1435可為一習知tandem裝載,本發明之系統卻可使該裝載機制提供在習知技術所無之功能。例如,如果該裝載機制為tandem,則可以2個分別之腔形成,兩者以隔板1438隔開。其後,可以提供2隔離門1437及1439,分別使用在各該tandem晶圓。使用上述設計後,將與習知技術不同。在習知技術只能使用一個隔離門,因此開門時乃是將該tandem裝載區之兩側都打開。但在本發明中,兩側可以獨立打開或關閉,與另一側無關。如此一來,當該機械手同時裝載2片晶圓時,可以同時打開兩個隔離閥。但是當只裝載單一晶圓時,則只打開單一之隔離閥。A tandem stacked loading chamber 1435 is also used in the embodiment of Figure 14, which allows the wafer to form two adjacent stacks. While the loading zone 1435 can be a conventional tandem loading, the system of the present invention allows the loading mechanism to provide functionality not found in the prior art. For example, if the loading mechanism is tandem, then two separate cavities can be formed, separated by a partition 1438. Thereafter, two isolation gates 1437 and 1439 can be provided for use in each of the tandem wafers. After using the above design, it will be different from the prior art. In the prior art, only one isolation door can be used, so when opening the door, both sides of the tandem loading area are opened. However, in the present invention, both sides can be independently opened or closed regardless of the other side. In this way, when the robot loads two wafers at the same time, two isolation valves can be opened at the same time. However, when only a single wafer is loaded, only a single isolation valve is opened.

第15圖更顯示另一實例,其中本發明之系統是用來做高速率之晶圓處理。此實施例特別適用在以高產率對基板作重複處理的場合。例如處理基板用來生產太陽電池。在此實施例中,在該輸送腔1532中設有2條直線軌道1543及1543',各軌道支撐2支直線機械手1541。在一實例中,在該直線軌道1543上之該機械手用來支援位在該輸送腔1532左側之處理腔1501,而另一支則用來支援位在另一側之處理腔。不過,該機械手臂可以製作成可以支援該輸送腔1532任一側之處理腔。Figure 15 further shows another example in which the system of the present invention is used for high rate wafer processing. This embodiment is particularly suitable for applications where the substrate is repeatedly treated in high yield. For example, the substrate is processed to produce a solar cell. In this embodiment, two linear rails 1543 and 1543' are provided in the transport chamber 1532, and each rail supports two linear robots 1541. In one example, the robot on the linear track 1543 is used to support the processing chamber 1501 on the left side of the delivery chamber 1532, while the other is used to support the processing chamber on the other side. However, the robotic arm can be fabricated to support the processing chamber on either side of the delivery chamber 1532.

第15圖所示之實例另一項特徵是提供2組裝載區。裝載區1535乃是用來裝載處理用之基板,而裝載區1537乃是用來解裝載處理完畢之基板。雖然在本實施例中顯示有tandem裝載區,但應了解在本實例中也可使用單一之基板或堆疊式裝載區。由於在裝載區之相反側設置有解裝載區,如果有必要可以將另一系統直接耦合到該解裝載區,如圖中虛線所示之輪廓。如此一來,該系統可以形成模組化,以適應在不同特定應用中,各種數量之製程腔所需。Another feature of the example shown in Fig. 15 is to provide two sets of loading zones. The loading area 1535 is for loading the substrate for processing, and the loading area 1537 is for unloading the processed substrate. Although a tandem loading zone is shown in this embodiment, it should be understood that a single substrate or stacked loading zone can also be used in this example. Since the unloading zone is provided on the opposite side of the loading zone, another system can be directly coupled to the unloading zone if necessary, as indicated by the dashed line in the figure. In this way, the system can be modularized to accommodate the various number of process chambers required for different specific applications.

根據本發明之另一實施例,本發明之系統為堆疊型。如第16圖所示,有一上層直線輸送腔1633置於一下層直線輸送腔1632之上。各直線輸送腔都具有多數之開口1601,並都具有適當之裝載設計,以利於連結到相關之處理腔。一升降機1662將基板在該上層及下層直線輸送腔間移動。在本特定實例中基板是由裝載腔1671裝載,而由卸載腔1673移除。不過,如有必要,可以設置另一升降機,位於該系統之前方,如此可使裝載與卸載之腔均未在同一層次。According to another embodiment of the invention, the system of the invention is of the stacked type. As shown in Fig. 16, an upper linear transport chamber 1633 is placed over the lower linear transport chamber 1632. Each linear delivery chamber has a plurality of openings 1601 and is provided with a suitable loading design to facilitate attachment to the associated processing chamber. An elevator 1662 moves the substrate between the upper and lower linear transport chambers. In this particular example, the substrate is loaded by the loading chamber 1671 and removed by the unloading chamber 1673. However, if necessary, another lift can be placed, in front of the system, so that the loading and unloading chambers are not at the same level.

第17圖顯示本發明系統之一例,其中該系統使用感應電流以提供動力至該機械手臂。本實例與第7D圖所示之實例相似,但有一主要不同,特別在於在前述實例中,是使用磁力將直線及旋轉運動傳遞至該機械手,但是在本實例中則是使用感應電流以提供動力來源。例如,該機械手組成可包括一步進馬達,用以提供旋轉,直線或旋轉與直線運動兩者之動能。在本實例中,為防止在該輸送腔中之淨空區存在任何電線,而以感應電流驅動該步進馬達。各個步進馬達都是耦合到感應線圈,例如線圈48,位於該真空環境之內。一驅動線圈50位於該真空環境之外,其位置與該感應線圈48相對。當一步進馬達需要激發時,將電流流進適當之線圈50,該線圈即將電流感應至相對應之線圈48,即可激發馬達。Figure 17 shows an example of a system of the present invention in which the system uses an induced current to provide power to the robotic arm. This example is similar to the example shown in Fig. 7D, but with a major difference, in particular in the foregoing example, the use of magnetic force to transmit linear and rotational motion to the robot, but in this example an induced current is used to provide Source of power. For example, the robotic composition can include a stepper motor to provide kinetic energy for both rotation, linear or rotational and linear motion. In the present example, the stepper motor is driven with an induced current to prevent any wires from being present in the clearance area in the delivery chamber. Each stepper motor is coupled to an induction coil, such as coil 48, located within the vacuum environment. A drive coil 50 is located outside of the vacuum environment and is positioned opposite the induction coil 48. When a stepper motor needs to be energized, current is drawn into the appropriate coil 50, which inducts current into the corresponding coil 48 to activate the motor.

雖然上述之處理腔係在真空條件下操作,但事實上在某些實例下也可在所包含之區域中使用特定之氣體或其他流體。因此,所述之「真空」乙詞,應解為也包括自我充滿之環境,例如為特定氣體所包圍之環境,而可使用在整體系統中。While the processing chambers described above operate under vacuum conditions, in certain instances specific gases or other fluids may be used in the included regions. Therefore, the word "vacuum" should be interpreted as including a self-filling environment, such as an environment surrounded by a specific gas, which can be used in the overall system.

在第1圖中,該集束型設備包括7個處理腔。而在第9圖中所揭示之系統包括8個處理腔。但第1圖之系統總面積加上周邊設備約為38m2 。而第9圖所示之機具,加上新增之處理腔及其周邊設備,面積僅為23m2 。因此本發明系統之所占面積,在多出一處理腔之下,仍顯著較小。此例為本發明採用直線形配置之情形。本發明之改進主要是因為使用一改良的喂入系統,即如第9圖所示之輸送腔,與第1圖所示之系統,使用一中央區之設計,加以比較之結果。In Fig. 1, the cluster type device includes seven processing chambers. The system disclosed in Figure 9 includes eight processing chambers. However, the total area of the system in Figure 1 plus the peripheral equipment is about 38m 2 . The machine shown in Figure 9, plus the new processing chamber and its peripheral equipment, has an area of only 23m 2 . Thus, the area occupied by the system of the present invention is still significantly smaller under an additional processing chamber. This example is the case where the invention adopts a linear configuration. The improvement of the present invention is primarily due to the use of a modified feed system, i.e., the transfer chamber as shown in Figure 9, and the system shown in Figure 1, using a central zone design, for comparison.

本發明之直線形架構具有極高之可塑性,且適用於多數種基板尺寸及形狀。應用在半導體製造之晶圓通常為圓形,直徑約為200或300mm。但半導體產業經常嘗試在一晶圓上製作更多元件,因此所使用之晶圓尺寸越來越大,由75mm、100mm、200mm至300mm。目前仍在發展製作直徑450mm之技術。由於在潔淨室中對樓層空間之特殊建築需求,晶圓廠不可能與晶圓尺寸相隨擴廠,以容納習知將處理腔設於周圍之集束型製造設備。The linear structure of the present invention has extremely high plasticity and is suitable for a wide variety of substrate sizes and shapes. Wafers used in semiconductor fabrication are typically circular and have a diameter of approximately 200 or 300 mm. But the semiconductor industry often tries to make more components on a wafer, so the wafers used are getting larger and larger, from 75mm, 100mm, 200mm to 300mm. The technology of making 450 mm in diameter is still being developed. Due to the special architectural requirements for floor space in the clean room, it is not possible for the fab to expand the plant with the size of the wafer to accommodate the conventional cluster-type manufacturing equipment in which the processing chamber is placed around.

再者,如果有必要加大該集速型設備(第1圖),以提高產能,所需增加之量為平方的增加。但在本發明所述之系統中,則只增加一方向之量,亦即系統之長度,而其寬度則完全維持不變。在相似之製程中,例如在鋁製程中,使用第9圖所示型態之系統,以相同之時間,以及小於第1圖所示系統所占用之樓面,第9圖所示之設備之產率為第1圖所示設備兩倍之晶圓,粗估大約為170%。因此在晶圓製造上,對一單位潔淨室面積而言,本發明相較於習知技術確有顯著之改進。顯然已經達成降低晶圓製造成本之目的。Furthermore, if it is necessary to increase the speed-increasing equipment (Fig. 1) to increase the capacity, the amount of increase required is an increase in square. However, in the system of the present invention, only one direction is added, that is, the length of the system, and the width is completely maintained. In a similar process, such as in an aluminum process, use the system of the type shown in Figure 9, at the same time, and less than the floor occupied by the system shown in Figure 1, the equipment shown in Figure 9. The yield is twice that of the device shown in Figure 1, and the rough estimate is about 170%. Therefore, in wafer fabrication, the present invention does have a significant improvement over conventional techniques for a unit of clean room area. Obviously, the goal of reducing wafer manufacturing costs has been achieved.

本發明之裝置在設計上並不限於圓形之基板。傳統集束型設備將晶圓沿弧狀路徑移動,其作法特別不利。如果所處理的基板為方形,該設備即必須改變尺寸,以用來處理一圓形底板,並在其上附著該待處理之方形基板。不過,本發明之直線形設備則在任何方向都不必大於通過該實際形狀之基板所需。例如,如果方形基板邊長300mm,則一集束型設備需以能處理424mm直徑之圓形基板之設備,才能處理。但在直線形設備中,則只需稍大於一300mm長之方形基板所需者即可。The device of the present invention is not limited in design to a circular substrate. Conventional clustering devices move the wafer along an arcuate path, which is particularly disadvantageous. If the substrate being processed is square, the device must be resized to process a circular substrate and to attach the square substrate to be processed. However, the linear device of the present invention does not need to be larger than any substrate required to pass the actual shape in any direction. For example, if the side of the square substrate is 300 mm long, a bundle type device needs to be processed by a device capable of processing a circular substrate having a diameter of 424 mm. However, in a linear device, it is only required to be slightly larger than a square substrate having a length of 300 mm.

另就輸送腔之尺寸而言,該輸送腔32只需提供用來移動基板所需空間即可,無論該晶圓是否與其他構件結合,只要能移動晶圓由該進入腔體經過及進入處理腔,及由處理腔離開該系統即可。因此,該輸送腔之寬度只需稍大於待處理之基板尺寸即可。不過,本發明之系統也可用來處理小尺寸之構件,且可在一基板保持器中同時處理多數之基板。In terms of the size of the transport chamber, the transport chamber 32 only needs to provide the space required for moving the substrate, whether or not the wafer is combined with other components, as long as the movable wafer can pass through the entry cavity and enter the process. The chamber can be removed from the system by the processing chamber. Therefore, the width of the transport chamber need only be slightly larger than the size of the substrate to be processed. However, the system of the present invention can also be used to process small sized components and to process a plurality of substrates simultaneously in a substrate holder.

以上是對本發明例示性實施例之說明,其中顯示特定之材料與步驟。但對習於斯藝之人士而言,對上述特定實例可產生或使用不同變化,而此種結構及方法均可在理解本說明書所描述及說明之操作,以及對操作之討論後,產生修改,但仍不會脫離本發明申請專利範圍所界定之範圍。The foregoing is a description of the exemplary embodiments of the invention, However, variations and modifications of the specific examples described above may be made to persons skilled in the art, and such structures and methods may be modified upon understanding the operations described and illustrated in this specification and the discussion of the operation. However, it does not depart from the scope defined by the scope of the invention.

12...機械手臂12. . . Mechanical arm

21...處理腔twenty one. . . Processing chamber

22...中央腔twenty two. . . Central cavity

23...處理站twenty three. . . Processing station

25...昇降機25. . . elevator

26...入口26. . . Entrance

30...進入站30. . . Entering the station

31...處理腔31. . . Processing chamber

32...輸送腔32. . . Conveying chamber

33...EFEM33. . . EFEM

34...系統34. . . system

35...裝載區35. . . Loading area

36...電源分配單元36. . . Power distribution unit

37...處理電源供應37. . . Processing power supply

38...處理氣體箱38. . . Processing gas box

39...閥門39. . . valve

40...資訊處理箱40. . . Information processing box

41...機械手臂41. . . Mechanical arm

41’...手臂延伸41’. . . Arm extension

42...晶圓42. . . Wafer

43...直線軌道43. . . Linear orbit

45...支撐機構45. . . Support mechanism

46...驅動軌道46. . . Drive track

47...軌條47. . . Rail

47b...旋轉編碼器47b. . . Rotary encoder

48...磁頭或磁性耦合跟隨器48. . . Head or magnetic coupling follower

49...基座49. . . Pedestal

50...磁性驅動器50. . . Magnetic drive

51...外部軌條51. . . External rail

52...旋轉馬達52. . . Rotary motor

53...真空隔板53. . . Vacuum partition

54...基座54. . . Pedestal

55...齒輪減速器55. . . Gear reducer

58...傳動帶或鏈條58. . . Drive belt or chain

59...轉子59. . . Rotor

57a...編碼器57a. . . Encoder

57c...位置/移動編碼57c. . . Position/mobile coding

61、62...裝載軌輪61, 62. . . Loading rail

63...直線馬達63. . . Linear motor

64...磁塊64. . . Magnetic block

70...基座70. . . Pedestal

72‧‧‧耦合裝置72‧‧‧Coupling device

73‧‧‧滑動軸承73‧‧‧Sliding bearings

74、76‧‧‧蓋體74, 76‧‧‧ cover

78‧‧‧直線軌道78‧‧‧ Linear orbit

80‧‧‧主動電磁組成80‧‧‧Active electromagnetic composition

82‧‧‧永久磁鐵82‧‧‧ permanent magnet

1201、1203、1205、 1207、1209‧‧‧處理腔1201, 1203, 1205, 1207, 1209‧‧‧ processing chamber

1202‧‧‧鍵1202‧‧‧ key

1210‧‧‧氣體供應源1210‧‧‧ gas supply

1211‧‧‧氣體供應源1211‧‧‧ gas supply

1212‧‧‧真空泵1212‧‧‧Vacuum pump

1232‧‧‧直線輸送腔1232‧‧‧Line transport chamber

1235‧‧‧裝載腔1235‧‧‧Loading chamber

1243‧‧‧機械手臂1243‧‧‧ Robotic arm

1301、1305‧‧‧腔1301, 1305‧‧‧ cavity

1303、1304‧‧‧晶圓腔1303, 1304‧‧‧ wafer cavity

1307、1309‧‧‧腔1307, 1309‧‧‧ cavity

1332‧‧‧系統1332‧‧‧System

1341、1343‧‧‧機械手1341, 1343‧‧ ‧ manipulator

1357‧‧‧中央隔離閥1357‧‧‧Central isolation valve

1401‧‧‧三重tandem腔1401‧‧‧Triple tandem cavity

1404‧‧‧單一腔1404‧‧‧Single cavity

1405‧‧‧多晶圓處理腔1405‧‧‧Multi-wafer processing chamber

1407、1409‧‧‧複合單一tandem腔1407, 1409‧‧‧ composite single tandem cavity

1432‧‧‧直線形輸送腔1432‧‧‧Linear conveying chamber

1435‧‧‧tandem堆疊型裝載腔1435‧‧‧tandem stacked loading chamber

1437、1439‧‧‧隔離門1437, 1439‧‧ ‧ isolation door

1438‧‧‧隔板1438‧‧‧Baffle

1441、1443‧‧‧機械手臂1441, 1443‧‧ mechanical arm

1501...處理腔1501. . . Processing chamber

1532...輸送腔1532. . . Conveying chamber

1535...裝載區1535. . . Loading area

1537...裝載區1537. . . Loading area

1541...直線機械手1541. . . Linear manipulator

1543、1543'...直線軌道1543, 1543'. . . Linear orbit

1601...開口1601. . . Opening

1632...下層直線輸送腔1632. . . Lower linear transport chamber

1633...上層直線輸送腔1633. . . Upper linear transport chamber

1662...升降機1662. . . elevator

1671...裝載腔1671. . . Loading chamber

1673...卸載腔1673. . . Unloading chamber

48...感應線圈48. . . Induction coil

50...驅動線圈50. . . Drive coil

第1圖表示習知技術中用來執行PVD應用之集束型設備之系統圖。Fig. 1 is a system diagram showing a cluster type device for performing PVD applications in the prior art.

第2圖表示前述美國2006/0102078號專利公開案所揭示之裝置之系統圖,為一種已知技術之系統。Figure 2 is a system diagram of the apparatus disclosed in the aforementioned U.S. Patent Application Publication No. 2006/0102078, which is a system of the prior art.

第3圖表示本發明一處理系統之系統圖。Figure 3 is a system diagram showing a processing system of the present invention.

第4圖表示其輸送腔之頂面圖,顯示其較詳細結構。在本圖中係顯示一3處理站之結構,但其處理步驟之數量僅作為參考用。Figure 4 shows a top view of the delivery chamber showing its more detailed structure. In the figure, the structure of a 3 processing station is shown, but the number of processing steps is for reference only.

第5圖表示本發明系統一部份之立體圖,其角度為由該裝載區至該輸送腔或至轉送腔之角度。Figure 5 is a perspective view of a portion of the system of the present invention at an angle from the loading zone to the delivery chamber or to the transfer chamber.

第6圖顯示該晶圓移動機構之立體圖,顯示除去該系統之外殼之情形。Figure 6 shows a perspective view of the wafer moving mechanism showing the removal of the outer casing of the system.

第7圖表示使用在本發明較佳實例之軌道及驅動系統之示意圖。Figure 7 is a schematic view showing the track and drive system used in the preferred embodiment of the present invention.

第7A圖表示一直線移動組成一實例之示意圖。Fig. 7A is a diagram showing an example of a linear movement composition.

第7B圖表示第4圖之裝置沿A-A線之截面圖,顯示該直線移動組成之另一實施例。Fig. 7B is a cross-sectional view of the apparatus of Fig. 4 taken along line A-A, showing another embodiment of the linear movement composition.

第7C圖表示一位於大氣中之直線軌道及一位於真空中之直線軌道之實例截面圖。Figure 7C shows an example cross-sectional view of a linear orbit in the atmosphere and a linear orbit in a vacuum.

第7D圖表示一位於大氣中之直線軌道及一位於真空中之直線軌道之另一實例截面圖。Fig. 7D is a cross-sectional view showing another example of a linear track in the atmosphere and a linear track in a vacuum.

第8圖表示根據本發明之4站物理蒸鍍(PVD)系統或濺射系統之系統圖。Figure 8 is a system diagram showing a four-station physical vapor deposition (PVD) system or sputtering system in accordance with the present invention.

第9圖表示根據本發明之8站系統之系統圖。Figure 9 is a system diagram showing an 8-station system in accordance with the present invention.

第10圖表示根據本發明之6站系統之系統圖。Figure 10 is a system diagram showing a 6-station system in accordance with the present invention.

第11A及11B圖表示本發明二種不同實施例之系統圖。Figures 11A and 11B show system diagrams of two different embodiments of the present invention.

第12圖顯示本發明主機系統一實施例應用在tandem型處理腔之範例。Fig. 12 is a diagram showing an example in which an embodiment of the host system of the present invention is applied to a tandem type processing chamber.

第13圖顯示本發明系統之另一實施例,具有不同處理腔之組合。Figure 13 shows another embodiment of the system of the present invention having a combination of different processing chambers.

第14圖顯示本發明之一實施例,其中不同型態之處理腔連接到一直線形輸送腔。Figure 14 shows an embodiment of the invention in which different types of processing chambers are connected to a linear delivery chamber.

第15圖顯示本發明之另一實施例,其中本發明之系統用來做高產率之基板處理。Figure 15 shows another embodiment of the present invention in which the system of the present invention is used for substrate processing in high yields.

第16圖顯示本發明之另一實施例,其中本發明之系統具有2直線輸送系統,以上下堆疊方式設置。Figure 16 shows another embodiment of the present invention in which the system of the present invention has a 2-line transport system arranged in a stacking manner.

第17圖顯示本發明系統之另一實施例,其中是使用感應電流來提供動力至該機械手。Figure 17 shows another embodiment of the system of the present invention in which an induced current is used to provide power to the robot.

30...進入站30. . . Entering the station

31...處理腔31. . . Processing chamber

32...輸送腔32. . . Conveying chamber

33...裝置前端模組33. . . Device front end module

34...系統34. . . system

35...裝載區35. . . Loading area

36...電源分配單元36. . . Power distribution unit

37...電源供應37. . . power supply

38...氣體箱38. . . Gas box

39...閥門39. . . valve

40...資訊處理箱40. . . Information processing box

Claims (20)

一種基板處理系統,包括:一長形基板輸送腔;一直線軌道,固著在該輸送腔之內;至少二機械手,直線裝載在該直線軌道上;連接埠,設置在該長形基板輸送腔之隔板內,該連接埠配置成可以至少連結一多基板處理腔與該長型基板輸送腔,該多基板處理腔具有多數處理區,定義於其中,其中該連接埠提供該多數處理區中各處理區之進出,且設置於該長形基板輸送腔上,以適應該至少一個多基板處理腔每個處理腔的多數處理區之間不同的間距;其中各該機械手建構以將基板以獨立於該至少一個多基板處理腔的其他處理區的方式,裝載至該至少一個多基板處理腔之各處理區。 A substrate processing system comprising: an elongated substrate transport cavity; a linear track fixed in the transport cavity; at least two robots loaded linearly on the linear track; and a connection port disposed in the elongated substrate transport cavity The spacer is configured to connect at least a multi-substrate processing chamber and a long substrate processing chamber, wherein the multi-substrate processing chamber has a plurality of processing regions defined therein, wherein the connection is provided in the plurality of processing regions Each of the processing zones is disposed in and out of the elongated substrate transporting chamber to accommodate different spacings between the plurality of processing regions of each of the at least one multi-substrate processing chamber; wherein each of the robots is configured to Loading into each of the processing regions of the at least one multi-substrate processing chamber independently of the other processing regions of the at least one multi-substrate processing chamber. 如申請專利範圍第1項之基板處理系統,另包括一單一層裝載腔。 The substrate processing system of claim 1, further comprising a single layer loading chamber. 如申請專利範圍第1項之基板處理系統,另包括一tandem堆疊裝載腔。 The substrate processing system of claim 1, further comprising a tandem stack loading chamber. 如申請專利範圍第1項之基板處理系統,其中該多基板處理腔包括一單一腔體,具有多數直線對準處理區域定義在其中。 The substrate processing system of claim 1, wherein the multi-substrate processing chamber comprises a single cavity having a plurality of linear alignment processing regions defined therein. 如申請專利範圍第1項之基板處理系統,其中該多基板處理腔包括二單一腔體,互相對準裝置,各腔包括一單一處理腔定義於其中,且其中該二腔體共用處理氣體供應源或真空泵之至少一種。 The substrate processing system of claim 1, wherein the multi-substrate processing chamber comprises two single cavities, mutually aligned devices, each cavity including a single processing chamber defined therein, and wherein the two cavities share a processing gas supply At least one of a source or a vacuum pump. 如申請專利範圍第1項之基板處理系統,另包含一多基板處理腔而包括一單一腔體,具有多數圍繞排列之處理區定義在其中,且裝置於該長形基板輸送腔上。 The substrate processing system of claim 1, further comprising a multi-substrate processing chamber comprising a single cavity, wherein a plurality of processing regions surrounding the array are defined therein and disposed on the elongated substrate delivery chamber. 如申請專利範圍第1項之基板處理系統,其中該機械手中至少一者包括一SCARA機械手臂。 The substrate processing system of claim 1, wherein at least one of the robots comprises a SCARA robotic arm. 如申請專利範圍第1項之基板處理系統,另包括一裝載區,可互通的耦合到該長形基板輸送腔,以及一卸載區,可互通的耦合到該長形基板輸 送腔,並符合位於於該裝載區相對側之直線軌道。 The substrate processing system of claim 1, further comprising a loading area inter-coupled to the elongated substrate transport chamber and an unloading region coupled to the elongated substrate for intercommunication The chamber is delivered and conforms to a linear track located on the opposite side of the loading zone. 如申請專利範圍第8項之基板處理系統,其中該卸載區設成可以同時裝置在該長形基板輸送腔及另一輸送腔上。 The substrate processing system of claim 8, wherein the unloading zone is configured to be simultaneously mounted on the elongated substrate delivery chamber and the other delivery cavity. 如申請專利範圍第1項之基板處理系統,另包括一第二直線軌道,具有至少一機械手,在其上直線行走。 The substrate processing system of claim 1, further comprising a second linear track having at least one robot on which to walk straight. 如申請專利範圍第1項之基板處理系統,另包括一多基板冷卻站,設置於長形基板輸送腔上。 The substrate processing system of claim 1, further comprising a multi-substrate cooling station disposed on the elongated substrate transport chamber. 如申請專利範圍第1項之基板處理系統,另包括一上層直線輸送腔,位於該輸送腔上,及一升降機,耦合至長形基板輸送腔及該上方直線輸送腔,並設成可在其間輸送基板。 The substrate processing system of claim 1, further comprising an upper linear transport chamber located on the transport chamber, and an elevator coupled to the elongated substrate transport chamber and the upper linear transport chamber, and configured to be disposed therebetween The substrate is transported. 一種基板處理系統,包括:一裝載腔;一長形基板輸送腔,具有一排氣區並耦合至該裝載腔;一直線軌道,固著在該輸送腔之內之該排氣區;至少一機械手,運行在該直線軌道上;一磁性耦合裝置,提供運動力至該至少一機械手;一減速傳送器,用以減緩該運動力傳送至該至少一機械手之轉動速度;連接埠,設置在該長形基板輸送腔之隔板內,該連接埠配置成可連結該多數之處理腔與該長形基板輸送腔之至少一側。 A substrate processing system comprising: a loading chamber; an elongated substrate conveying chamber having an exhaust region coupled to the loading chamber; a linear track fixed to the exhaust region within the delivery chamber; at least one mechanical a hand, running on the linear track; a magnetic coupling device providing a moving force to the at least one robot; a speed reducing transmitter for slowing the transmission of the moving force to the rotational speed of the at least one robot; In the partition of the elongated substrate transport chamber, the port is configured to connect at least one of the plurality of processing chambers and the elongated substrate transport chamber. 如申請專利範圍第13項之基板處理系統,其中該處理腔至少一者為一多基板處理腔。 The substrate processing system of claim 13, wherein at least one of the processing chambers is a multi-substrate processing chamber. 如申請專利範圍第13項之基板處理系統,另包括一第二直線軌道,附著於該輸送腔內之該排氣區,並具有至少一機械手在其上行走。 The substrate processing system of claim 13 further comprising a second linear track attached to the exhaust zone in the delivery chamber and having at least one robot running thereon. 如申請專利範圍第13項之基板處理系統,另包括直線運動編碼器,耦合至該機械手。 A substrate processing system according to claim 13 further comprising a linear motion encoder coupled to the robot. 如申請專利範圍第13項之基板處理系統,另包括一卸載腔,可相通耦合到長形基板輸送腔,並符合於該裝載腔相對側之直線軌道。 The substrate processing system of claim 13 further comprising an unloading chamber communicably coupled to the elongated substrate transport chamber and conforming to a linear track on an opposite side of the loading chamber. 如申請專利範圍第17項之基板處理系統,其中該卸載腔設成可以同時裝置該長形基板輸送腔以及另一輸送腔。 The substrate processing system of claim 17, wherein the unloading chamber is configured to simultaneously mount the elongated substrate delivery chamber and another delivery chamber. 如申請專利範圍第13項之基板處理系統,其中至少二該處理腔共用處理氣體供應源或真空泵之至少一種。 The substrate processing system of claim 13, wherein at least two of the processing chambers share at least one of a processing gas supply source or a vacuum pump. 如申請專利範圍第13項之基板處理系統,另包括鍵,裝置以使二該處理腔對準。 The substrate processing system of claim 13 further comprising a key to align the processing chambers.
TW98105804A 2009-02-24 2009-02-24 Apparatus and methods for transporting and processing substrates TWI394224B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW98105804A TWI394224B (en) 2009-02-24 2009-02-24 Apparatus and methods for transporting and processing substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW98105804A TWI394224B (en) 2009-02-24 2009-02-24 Apparatus and methods for transporting and processing substrates

Publications (2)

Publication Number Publication Date
TW201032282A TW201032282A (en) 2010-09-01
TWI394224B true TWI394224B (en) 2013-04-21

Family

ID=44854844

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98105804A TWI394224B (en) 2009-02-24 2009-02-24 Apparatus and methods for transporting and processing substrates

Country Status (1)

Country Link
TW (1) TWI394224B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI644768B (en) * 2015-05-24 2018-12-21 大陸商上海微電子裝備(集團)股份有限公司 Silicon transfer system
TWI734635B (en) * 2020-07-24 2021-07-21 大陸商杭州眾硅電子科技有限公司 Wafer transfer equipment, chemical mechanical planarization device and wafer transfer method

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
KR102214394B1 (en) * 2013-03-15 2021-02-08 어플라이드 머티어리얼스, 인코포레이티드 Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
EP3655562A1 (en) 2017-07-19 2020-05-27 Intevac, Inc. System for forming nano-laminate optical coating
US11694913B2 (en) 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
US11414748B2 (en) 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
TWI745266B (en) * 2021-05-31 2021-11-01 博斯科技股份有限公司 Reaction furnace cooling system and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US20050120578A1 (en) * 2003-11-10 2005-06-09 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US20060045664A1 (en) * 2004-08-17 2006-03-02 Leszek Niewmierzycki Low cost high throughput processing platform

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US20050120578A1 (en) * 2003-11-10 2005-06-09 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US20060045664A1 (en) * 2004-08-17 2006-03-02 Leszek Niewmierzycki Low cost high throughput processing platform

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI644768B (en) * 2015-05-24 2018-12-21 大陸商上海微電子裝備(集團)股份有限公司 Silicon transfer system
US10254661B2 (en) 2015-05-24 2019-04-09 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Silicon wafer transportation system
TWI734635B (en) * 2020-07-24 2021-07-21 大陸商杭州眾硅電子科技有限公司 Wafer transfer equipment, chemical mechanical planarization device and wafer transfer method

Also Published As

Publication number Publication date
TW201032282A (en) 2010-09-01

Similar Documents

Publication Publication Date Title
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
TWI394224B (en) Apparatus and methods for transporting and processing substrates
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
TWI458612B (en) Linear vacuum robot with z motion and articulated arm
CN101150051B (en) Apparatus for transporting and processing substrates
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
KR20150131117A (en) Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
KR20160098524A (en) Substrate Processing Apparatus
JP5247094B2 (en) Substrate processing system
JP5388279B2 (en) Substrate transfer processing apparatus and method
TWI488247B (en) Apparatus and method for transporting and processing substrates
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
CN101740439B (en) Device and method for transmitting and processing substrate
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
JP5578539B2 (en) Substrate transfer processing apparatus and method
KR20110049751A (en) Apparatus and methods for transporting and processing substrates
KR20100052321A (en) Apparatus and methods for transporting and processing substrates