TWI393191B - 低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進 - Google Patents

低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進 Download PDF

Info

Publication number
TWI393191B
TWI393191B TW098112847A TW98112847A TWI393191B TW I393191 B TWI393191 B TW I393191B TW 098112847 A TW098112847 A TW 098112847A TW 98112847 A TW98112847 A TW 98112847A TW I393191 B TWI393191 B TW I393191B
Authority
TW
Taiwan
Prior art keywords
layer
sccm
tantalum nitride
germanium
rate
Prior art date
Application number
TW098112847A
Other languages
English (en)
Other versions
TW201001561A (en
Inventor
ya tang Yang
Beom Soo Park
Tae Kyung Won
Soo Young Choi
John M White
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201001561A publication Critical patent/TW201001561A/zh
Application granted granted Critical
Publication of TWI393191B publication Critical patent/TWI393191B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進
本發明之實施例一般涉及具有穩定的電氣性能之薄膜電晶體及這種電晶體的製造方法。
薄膜電晶體(TFT’s)廣泛用於製造許多大小和類型的平板顯示器。一般來說,薄膜電晶體成層形成於基板上。一導電底部閘極層被一介電材料覆蓋,以在該導電底部閘極層和其後形成的頂部閘極層之間,支持維持一個電場。一半導體層通常形成在介電層之上。半導體層作為電子的供應者,供應電子到電晶體通道,電晶體通道是一個形成在主動層上之被摻質半導體材料。頂部閘極觸點係形成在通道層上。
在運作中,經由源極和汲極接面,一閘極電壓被施加於閘極,及一偏壓被施加於通道。閘極電壓藉由介電層通過電晶體產生一電場。電場促使電子從主動層進入通道層。當有足夠的電子遷移,一電流流經通道層。
為了確保操作TFT的可靠度,在主動層的電子移動是非常重要的。電子必須是自由的,以隨時回應一施加的閘極電壓,從主動層遷移到通道層。如果在主動層的電子移動性下降,則在通道產生電流所需的閘極電壓增加,可能導致電晶體失效。此外,特性的穩定度,例如,熱和電應力下之閾值電壓對於操作的可靠度是非常關鍵的。
因此,持續需要性能穩定且具有高電子遷移率之薄膜電晶體。
本發明之實施例一般提供一薄膜電晶體,其藉由在一基板上沉積一介電層、主動層、摻質主動層、和導電層來形成。在一實施例中,該介電是雙層的,包含:一富矽氮化矽層,其作為第一介電層;和一氮化矽層,其作為第二介電層。在另一項實施例中,該主動層是雙層的,包含:一第一非晶矽層,其以一低沉積速率沉積;及一第二非晶矽層,其以一高沉積速率沉積。在一些實施例中,該薄膜電晶體具有:一至少約1.90之折射率、一至少約0.83:1之矽氮比率、及矽氫鍵的含量約介於18原子百分比和約21原子百分比之間。
本發明之實施例亦提供一種方法,用以形成一薄膜電晶體,包含在一基板上依序形成一介電層、一主動層、一摻質主動層、和一導電層。在一些實施例中,介電層是由兩層組成,即一第一介電層和一第二介電層。在一些實施例中,該第一介電層是一富矽氮化矽層。在其他實施例中,以一低於第二介電層之沉積速率形成第一介電層。在另一項實施例中,該主動層是雙層的,包含:一第一非晶矽層,其以一低沉積速率沉積;及一第二非晶矽層,其以一高沉積速率沉積。
本發明之實施例提供一薄膜電晶體(TFT)及這種電晶體的製造方法。
圖1是一截面圖,其繪示依據本發明之一實施例的一PECVD設備。該設備包括一腔室100,其用以沉積一或多薄膜至一基板120。一合適的化學氣相沉積設備可獲取自位於美國加州聖大克勞拉市的應用材料公司。雖然下面的說明將以PECVD設備進行說明,應理解本發明也同樣適用於其他腔室,包括那些由其他製造商生產的。
腔室100一般包括腔壁102、一底部104、一蓮蓬頭106、及基座118,其界定一製程容積。製程容積可經由一個狹縫閥門開口108進入,以使基板120能被轉移進出腔室100。基座118可耦接至一致動器116,以升高和降低基座118。藉由基座118移動放置舉升銷122,以在放置到基座118之前和從基座118移除之後,支撐一基板120。基座118還可以包括加熱和/或冷卻元件124,以保持基座118在理想的溫度。基座118可能還包括接地線126,其在基座118周圍提供射頻接地。
蓮蓬頭106藉由一固定機構連接至一背板112。蓮蓬頭106可由一或多耦合支架150耦接至背板112,以幫助防止凹陷和/或控制蓮蓬頭106的直線/曲線。在一個實施例中,十二個耦合支架150可用於耦接蓮蓬頭106至背板112。耦合支架150可包括一固定機構,如,螺母,螺栓組件。在一實施例中,螺母和螺栓組件可由介電材料製成。在另一實施例中,螺栓可由金屬製成和由介電材料包圍。在另一實施例中,蓮蓬頭106可車上螺紋以接收螺栓。在又一實施例中,螺母可由一介電材料形成。介電材料有助於防止耦合支架150電性耦合可能存在於腔室100的任何電漿。此外和/或替代性地,可有一中心耦合機構將背板112耦接至蓮蓬頭106。中心耦合機構可圍繞一背板支撐環(未顯示),並懸掛在一橋接組件(未顯示)上。蓮蓬頭106可藉由托架134另外耦接背板112。托架134可能有壁架136,用以設置蓮蓬頭106。背板112可設置在壁架114上,壁架114耦接腔壁102,以密封腔室100。
一氣源132耦合至背板112,以藉由蓮蓬頭106的氣道提供製程氣體和清潔氣體給基板120。製程氣體流動穿過一遠端電漿源/射頻扼流圈單元130。一真空泵110被耦接到腔室100的低於基座118的位置,以將製程容積保持在一預定的壓力下。一射頻電源128被耦合到背板112和/或蓮蓬頭106,以提供一射頻電流給蓮蓬頭106。射頻電流在蓮蓬頭106和基座118之間產生一電場,以從蓮蓬頭106和基座118之間的氣體產生電漿。可以使用不同頻率,例如,介於約0.3兆赫和200兆赫間的一頻率。在一個實施例中,以13.56兆赫之頻率提供射頻電流。
在製程基板之間,可提供清潔氣體給遠端電漿源/射頻扼流圈單元130,以使遠端電漿生成,並被提供來清潔腔室100的元件。來自耦合到遠端電漿源/射頻扼流圈130的微波源138之一微波電流可引發電漿。清潔氣體可進一步由提供給蓮蓬頭106的射頻電源128激發。合適的清潔氣體包括但不限於NF3 、F2 和SF6 。在基板120的頂面和蓮蓬頭106之間的間隔介於約400密爾(mil)至大約1200密爾。在一實施例中,間隔可能介於400密爾到約800密爾之間。
PECVD可用來沉積TFT的各層。圖2是一示意圖,其繪示依據本發明之一實施例的一TFT結構200。TFT結構200包括一基板202,其可以是任何其上形成有含矽介電層的任何基板。基板202可以是導電的或非導電的,而且可以是剛性的或彈性的。在一些實施例中,基板202可以是一玻璃基板。在一些實施例中,基板202可以是一經摻質或其他處理的玻璃基板。TFT結構200進一步包括一第一介電層204、一底部閘極層206、一第二介電層208、一第一主動層210、一第二主動層212、一摻質半導體層214、一金屬層216、和一鈍化層218。
TFT結構200的第一介電層204一般沉積在基板202上,以一第一沉積速率沉積達一第一厚度。在許多實施例中,TFT結構200的第一介電層204是一氮化矽層。在一些實施例中,第一介電層204可能是一個富矽氮化矽層,例如,具有一矽氮比大於約0.80:1.0之富矽氮化矽層。在另一實施例中,富矽氮化矽層可能有大於約0.83:1.0之矽氮比。在另一實施例中,富矽氮化矽層可能有大於約0.85:1.0之矽氮比。相反於人們普遍的認知:一富矽氮化矽層是「不好的氮化物」層,目前已發現,在低溫下由於增加結構中矽氫鍵的比例所造成的高缺陷密度,富矽氮化矽層可減少TFT沉積中負面的閾值電壓漂移。這些缺陷可作為電子阱,其中高密度者被認為可用來減少入侵到介電層的電子。從而減少會降低閾值電壓之負面的閾值電壓漂移。
第一介電層204可沉積達一第一厚度,其介於約1000埃()和約4000之間,如,2000和約3000之間,例如,約2800。在實施例中,第一介電層是一富矽氮化矽層,第一介電層204具有高於標準氮化矽薄膜之一折射率。標準氮化矽薄膜具有約1.8至1.9的折射率。相反的,富矽氮化矽薄膜具有約1.9或更高的折射率。在一些實施例中,折射率可介於約1.92和約1.96之間。在一些實施例中,富矽氮化矽層(例如,上文所述的一第一介電層204)可具有較氮氫鍵含量高的矽氫鍵。在其他實施例,矽氫鍵的含量可能低於氮氫鍵。例如,在一些實施例中,矽氫鍵的含量可介於約18原子百分比和約30原子百分比之間,如,約21原子百分比和約27原子百分比之間。在其他實施例中,氮氫鍵的含量可能少於約20原子百分比,如,低於約18原子百分比。
TFT結構的底部閘極層206一般沉積在第一介電層204之上或之中。底部閘極層206一般包括一金屬(如,鉻、或例如鋁釹合金之金屬合金),並沉積介約500和約3500之厚度。底部閘極層可以是一雙層,其包含可能相同或不同的兩種金屬或合金。例如,底部閘極層可以是一雙層,其包含鉻和鋁釹合金。
第二介電層層208可包括一含有矽、氧、氮、碳或其組合之一層。例如,第二介電層208可以是氮化矽、氧化矽、或碳化矽。此外,在一些實施例中,第二介電層可以是氧氮化矽、氧碳化矽、或碳氮化矽。在實施例中,其中第二介電層208是一氮化矽層,它可能是一種計量氮化矽層,或一種富矽氮化矽層。在一些實施例中,第二介電層208的組成可能實質上類似於第一介電層。在一些實施例中,第二介電層208可能有大於第一介電層204的矽氮比。在一些實施例中,第二介電層208可能有小於第一介電層204的矽氮比。第二介電層208通常沉積達一第二厚度,其介於約200和約4000,如,介於約400和約600之間,例如,約500。第二厚度一般小於第一厚度。
第一次和第二次介電層共同構成一閘極介電層,其具有低介電常數和良好的阻障性能。此外,閘極介電層藉由TFT支援良好的電子遷移率,以及促進穩定的電氣性能。因此,形成的第二介電層最好有一較低的濕蝕刻速率,其介於約700埃/分和約3000埃/分之間,例如,介於約1000埃/分和約1500埃/分之間。
第一主動層210可以是一非晶矽層、一多晶矽層、或一氫化非晶矽層。第一主動層210一般沉積達一第三厚度,其介於約100埃和約500埃之間,例如,介於約200埃和約400埃之間,例如,約300埃。當電壓被施加於閘極時,第一主動層210一般將電子供應至摻質半導體層214。第一主動層210可以是一半導體材料(如矽或鍺、或其結合),一摻質半導體材料(如n型摻質或p型摻質矽材料,或透明導電氧化物材料,如鋅氧化氮)。
第二主動層212也可能是一種非晶矽層,沉積達一第四厚度,其介於約1200和約2000,例如,約1400至約1800,例如,約1600。第四厚度通常大於第三厚度。第二主動層212可能具有實質上類似於第一次主動層210的構成。第二主動層212也可能是一半導體材料、一摻質半導體材料、或一透明導電氧化物,如上所述。
摻質半導體層214一般形成TFT 200的一源極區域。摻質半導體層214一般將是一n型摻質或p型摻質矽區域。例如,層214可以是一非晶矽摻質區域,其以一或多硼、磷、或砷摻質。金屬層216可被濺射到層214,並在其上形成鈍化層218。鈍化層218可能是氮化矽層。
本發明之實施例還提供一種形成類似上文所述與圖2相關之一薄膜電晶體之方法。圖3是一流程圖,其描述根據本發明實施例之一種方法300。在步驟302中,在一基板上沉積可能是一富矽氮化矽層之一第一介電層。在示例性實施例中,其中第一介電層是一個富矽氮化矽層,藉由提供一基板至如相關於圖1之內容所述的一製程腔室,以沉積第一介電層。提供一第一氣體混合至製程腔室和產生一電漿以在基板上沉積第一介電層。氣體混合一般包含:一矽源,如矽烷(SiH4 );和一氮源,例如,氮氣(N2 )、氨(NH3 )、或其混合。此外,氫源(例如,氫氣(H2 ),以及一載氣,如氬氣(Ar))可補充第一氣體混合。在一些實施例中氨亦可作為氫源。
一般情況下,氣體混合物流進製程腔室的流率將取決於處理中基板的大小。在一些實施例中,例如,在一示例性實施例中,被處理之一基板具有68公分乘以88公分之大小,可提供流速介於約4,000 sccm至約19,000 sccm之間的第一氣體混合,例如,介於約7000 sccm至11,000 sccm之間,例如,約9000 sccm。在這樣的實施例中,SiH4 氣體之氣流介於約300到900 sccm,例如,約400 sccm至約700 sccm,例如,約550 sccm。NH3 氣體之氣流介於約600到2,400 sccm,例如,約800 sccm至約2,000 sccm,例如,約1,200 sccm。N2 氣體之氣流介於約1,000到7,000 sccm,例如,約1,000 sccm至約4,000 sccm,例如,約1,000 sccm。H2 氣體之氣流介於約3,000到9,000 sccm,例如,約5,000 sccm至約7,000 sccm,例如,約6,000 sccm。
在一些實施例中,第一氣體混合的氣流可被調配,輸送到基板的區域。例如,在一示例性實施例中,第一氣體混合可以特定的流量來提供,其介於約0.8 sccm/cm2 至約3.1 sccm/cm2 之間,例如,約1.0 sccm/cm2 至約2.0 sccm/cm2 之間,例如,約1.4 sccm/cm2 。在這樣的實施例中,SiH4 氣體之氣流介於約0.05到0.15 sccm/cm2 ,例如,約0.07至約0.11 sccm/cm2 ,例如,約0.09 sccm/cm2 。NH3 氣體之氣流介於約0.10到0.40 sccm/cm2 ,例如,約0.16至約0.24 sccm/cm2 ,例如,約0.20 sccm/cm2 。N2 氣體之氣流介於約0.17到1.1 sccm/cm2 ,例如,約0.17至約0.5 sccm/cm2 ,例如,約0.17 sccm/cm2 。H2 氣體之氣流介於約0.5到1.5 sccm/cm2 ,例如,約0.7至約1.3 sccm/cm2 ,例如,約1.0 sccm/cm2
此外,在一些實施例中,第一氣體混合有一特徵為:在NH3 和SiH4 (NH3 :SiH4)間之氣體流量的比例約為0.7:1到7.4:1,例如,約1.5:1到約3.0:1,例如,約2.2:1。在N2 和SiH4 (N2 :SiH4)間之氣體流量的比例約為1:1到21:1,例如,約1.5:1到約10:1,例如,約2:1。在N2 和NH3 (N2 :NH3 )間之氣體流量的比例約為0.4:1到11:1,例如,約0.6:1到約4:1,例如,約0.8:1。
第一介電層一般將沉積在一第一溫度,其介於約150℃和250℃之間,例如,約200℃。較低的沉積溫度允許在各種基板上形成TFT。通常形成電漿以增強沉積,並且通常施加射頻功率至反應區以激發電漿,其中射頻功率是13.56 MHz的頻率和介於約2000瓦(W)和3800 W之間的功率水平,例如,介於約2400瓦和約3,200 W之間,例如,約2700 W。在一些實施例中,由RF所施加的特定功率可介於約0.30 W/cm2 至約0.70 W/cm2 之間,例如,約0.35W /cm2 至約0.55 W/cm2 之間,例如,約0.45 W/cm2 。施加至第一氣體混合的氣流之功率比例一般將是介於約0.2W/sccm和約0.4 W/sccm之間,例如,約0.33W/sccm。腔室內的壓力一般維持在低於約4 Torr之下,例如,介於約1.0 Torr至約3.0 Torr之間,例如,約2.1 Torr。在一些實施例中,電極和基板間的空間一般約介於450 mils和1000 mils之間,例如,約900 mils。這些條件導致第一介電層沉積的第一速率相對較高,例如,介於約700/min和約2,000/min之間,例如約1,500/min。第一介電層204可沉積達一第一厚度,其介於約1,000和約4,000之間,例如,2,000和約3,000之間,例如,約2,800
第二介電層形成於步驟304。第二介電層可形成於相同製程腔室,以作為第一介電層,或根據個別實施例的特殊需要形成在不同的製程腔室。第二介電層可以是氮化矽、氧化矽、或碳化矽。此外,在一些實施例中,第二介電層可以是氧氮化矽、氧碳化矽、或碳氮化矽。在示例性實施例中,其中第二介電層是氮化矽層,第二介電層可以用大致相同的前驅物和製程條件,藉由類似於第一介電層的製程來形成。在一些實施例中,可改變前驅物水平,以形成一層不同的成分。例如,如相關於圖2之內容所述,如果第一介電層是一富矽氮化矽層,而第二介電層是一氮化矽層,則矽源的流速可能會降低,或氮源的流量會增加,以達成理想的薄膜組成。
在一些實施例中,可藉由提供第二次氣體混合給一製程腔室,以及產生一電漿來沉積第二介電層,以形成第二介電層。第二氣體混合一般包含:一矽源,如矽烷(SiH4 );和一氮源,例如,氮氣(N2 )、氨(NH3 )、或其混合。此外,一氫源(例如,氫氣(H2 ),以及一載氣,如氬氣(Ar))可補充第二氣體混合。在一些實施例中氨亦可作為氫源。
一般情況下,氣體混合物流進製程腔室的流率將取決於處理中基板的大小。在一些實施例中,例如,在一示例性實施例中,被處理之一基板具有68公分乘以88公分之大小,可提供流速高於第一氣體混合之第二氣體混合,例如,介於約8,000 sccm至20,000 sccm之間,例如,介於約10,000 sccm至約18,000 sccm,例如,約14,000 sccm。在一些實施例中,第二氣體混合的流速高於第一氣體混合的流速20%至100%,例如,高於第一氣體混合的流速約60%至70%之間,例如,高於第一氣體混合的流速約65%。在其他實施例中,可以小於第一氣體混合的流速來提供第二氣體混合。在上述具有特徵基板大小之實施例中,SiH4 氣體之氣流介於約140到約360 sccm,例如,介於約200 sccm至約420 sccm之間,例如,約250 sccm。NH3 氣體的氣流介於約600至1700 sccm之間,例如,介於約800 sccm和約1300 sccm之間,例如,約1050 sccm。N2 氣體的氣流介於約4,000至10,000 sccm之間,例如,介於約6,000 sccm和約8,000 sccm之間,例如,約7,000 sccm。在這個實施例中,H2 氣體之氣流介於約3,500到約8,500 sccm之間,例如,約4,500 sccm至約7,500 sccm,例如,約6,000 sccm。
在一些實施例中,第二氣體混合之特定流速介於約1.4到3.3 sccm/cm2 ,例如,約2.0至約2.8 sccm/cm2 ,例如,約2.4 sccm/cm2 。SiH4 氣體之特定流速介於約0.02到約0.07 sccm/cm2 ,例如,介於約0.03至約0.05 sccm/cm2 ,例如,約0.04 sccm/cm2 。NH3 氣體之特定流速介於約0.10到約0.30 sccm/cm2 之間,例如,介於約0.14至約0.22 sccm/cm2 之間,例如,約0.18 sccm/cm2 。N2 氣體之特定流速介於約0.7到約1.7 sccm/cm2 之間,例如,介於約0.9至約1.5 sccm/cm2 之間,例如,約1.2 sccm/cm2 。H2 氣體之特定流速介於約0.5到約1.4 sccm/cm2 之間,例如,介於約0.8至約1.2 sccm/cm2 之間,例如,約1.0 sccm/cm2
此外,在一些實施例中,第二氣體混合具有之特徵為:NH3 和SiH4 (NH3 :SiH4 )之氣體流量比例介於約1:1約12:1之間,例如,介於約2:1和約6:1之間,例如約4:1。在N2 和SiH4 (N2 :SiH4 )間之氣體流量的比例介於約10:1到約70:1,例如,介於約25:1到約35:1,例如,約30:1。在N2 和NH3 (N2 :NH3 )間之氣體流量的比例介於約2:1到16:1之間,例如,介於約4:1到約11:1之間,例如,約6.5:1。第二介電層通常以小於第一介電層之速率沉積。
第二介電層一般將在與第一溫度實質上相同的第二溫度下沉積,其介於約150℃和250℃之間,例如,大約200℃。通常用一電漿來加強沉積,且通常藉由施加射頻功率至反應區,其中採用13.56 MHz的頻率,和介於約900瓦(W)和2100 W的功率水平,例如,介於約1,200 W和1800 W之間,例如,約1500 W。在第二介電層的一些實施例中,由RF所施加的特定功率可介於約0.15 W/cm2 至約0.35 W/cm2 之間,例如,約0.20 W/cm2 至約0.30 W/cm2 之間,例如,約0.25 W/cm2 。施加至第二氣體混合的氣流之功率比例一般將是介於約0.09 W/sccm和約0.11 W/sccm之間,例如,約0.10W/sccm。腔室內的壓力一般維持在低於約4 Torr之下,例如,介於約0.6 Torr至約2.0 Torr之間,例如,約1.0 Torr。在一些實施例中,電極和基板間的空間一般約介於450 mils和1000 mils之間,例如,約600 mils。這些條件通常導致第二介電層的沉積速度低於第一介電層。在一些實施例中,第二介電層可以第一介電層沉積速度之約40%至60%之速度沉積。在如上所述之示例性實施例中,第二介電層的沉積速度將介於約400/min和約1000/min,例如,介於約500/min至約900/min之間,例如,約650/min。
在實施例中,其中第二介電層是一氮化矽層,它可能是一種計量氮化矽層,或一種富矽氮化矽層。在一些實施例中,第二介電層的組成可能實質上類似於第一介電層。在一些實施例中,第二介電層可能具有大於第一介電層的矽氮比。在其他實施例中,第二介電層可能具有小於第一介電層的矽氮比。第二介電層通常沉積達一第二厚度,其介於約200至約4000之間,例如,介於約400和約600之間,例如,約500。第二厚度一般小於第一厚度。
一第一介電層形成於步驟306。第一主動層可能是一非晶矽層、一多晶矽層、一氫化非晶矽層、或一透明導電氧化層,例如,氧化鋅,如上文中參照圖2所述者。第一主動層可能是一半導體材料,例如,矽或鍺;或一摻質半導體材料,例如,一n型或P型摻矽材料。在一示例性實施例中,其中第一主動層是一非晶矽層,一第三氣體混合被提供至一製程腔室,其可以是用來形成先前介電層的相同製程腔室。第三氣體混合包括一矽源,如矽烷、一烷基矽烷、一矽氧烷、一矽氮烷、一個矽醇、或其他直線或環狀矽源。第三氣體混合也可能包括與矽源不同的氫源,例如,氫氣。
在一示例性實施例中,其中矽源是矽烷(SiH4 ),而氫源是氫氣(H2 ),以及基板的尺寸相同於上述實施例,第三氣體混合的流速可以是介於約5000 sccm至約35,000 sccm之間,例如,介於約7000 sccm至約20,000 sccm之間,例如,約11,000 sccm。SiH4 氣體的氣流介於約400至約1,400 sccm之間,例如,介於約600 sccm和約1,000 sccm之間,例如,約800 sccm。H2 氣體之氣流介於約4,000至30,000 sccm之間,例如,介於約7,000 sccm至約13,000 sccm,例如,約10,000 sccm。
在一些實施例中,第三氣體混合之特定流速介於約0.8到6.0 sccm/cm2 之間,例如,介於約1.5至約2.5 sccm/cm2 ,例如,約1.8 sccm/cm2 。SiH4 氣體之特定流速介於約0.08到約0.22 sccm/cm2 之間,例如,介於約0.12至約0.16 sccm/cm2 ,例如,約0.14 sccm/cm2 。H2 氣體之特定流速介於約0.8到約5.0 sccm/cm2 之間,例如,介於約1.2至約2.5 sccm/cm2 之間,例如,約1.7 sccm/cm2 。此外,在H2 和SiH4 (H2 :SiH4 )之間的氣流比例係介於約4:1至約60:1之間,例如,約12:1。
在一些實施例中,第一主動層將沉積於一般類似於上述層之溫度,其介於約150℃至250℃之間,例如,大約200℃。電漿之使用一般被施加以13.56MHz的射頻功率,其功率水平介於約100 W至700W之間,例如,介於約300 W至500W之間,例如,約350 W。在一些實施例中,特定功率可介於約0.017 W/cm2 至約0.12 W/cm2 之間,例如,介於約0.030 W/cm2 至約0.070 W/cm2 之間,例如,約0.057 W/cm2 。施加至第三氣體混合的氣流之功率比例一般將是介於約0.01 W/sccm至約0.04 W/sccm之間,例如,約0.03 W/sccm。處理壓力一般維持在低於約5 Torr之下,例如,介於約1.0 Torr至約5.0 Torr之間,例如,約2.5 Torr。在一些實施例中,電極和基板間的空間一般介於約400 mils和900 mils之間,例如,約550 mils。這些條件通常導致第一主動層之較低的沉積速度。整體而言,一較低的沉積速度是有吸引力的,因為可以保有主動層的電子遷移率。在如上所述的示例性實施例中,第一主動層的沉積速度將是介於約80/min至約500/min之間,例如,約200/min。在一些實施例中,第一主動層一般沉積達一第三厚度,其介於約100埃和約500埃之間,例如,介於約200埃和約400埃之間,例如,約300埃。
第二主動層形成於步驟308。第二主動層可能是一非晶矽層、一多晶矽層、一氫化非晶矽層、或一透明導電氧化層,例如,氧化鋅,如上文中參照圖2所述者。第二主動層可能是一半導體材料,例如,矽或鍺;或一摻質半導體材料,例如,一n型或P型摻矽材料。在一示例性實施例中,其中第二主動層是一非晶矽層,一第四氣體混合被提供至一製程腔室,其可以是用來形成先前介電層和主動層的相同製程腔室,或不同製程腔室。第四氣體混合包括一矽源,例如,一矽烷、一烷基矽烷、一矽氧烷、一矽氮烷、一個矽醇、或其他直線或環狀矽源。第四氣體混合也可能包括與矽源不同的氫源,例如,氫氣。
在一示例性實施例中,其中矽源是矽烷(SiH4 ),而氫源是氫氣(H2 ),以及基板的尺寸相同於上述實施例,第四氣體混合的流速可以是介於約3,000 sccm至約12,000 sccm之間,例如,介於約6000 sccm至約8,000 sccm之間,例如,約7,000 sccm。SiH4 氣體的氣流介於約500至約2,200 sccm之間,例如,介於約700 sccm和約1,100 sccm之間,例如,約900 sccm。H2 氣體之氣流介於約3,000到10,000 sccm,例如,約5,000 sccm至約7,000 sccm,例如,約6,000 sccm。
在一些實施例中,第四氣體混合之特定流速介於約0.5到2.0 sccm/cm2 之間,例如,介於約0.9至約1.3 sccm/cm2 ,例如,約1.1 sccm/cm2 。SiH4 氣體之特定流速介於約0.08到約0.40 sccm/cm2 之間,例如,介於約0.13至約0.20 sccm/cm2 ,例如,約0.15 sccm/cm2 。H2 氣體之特定流速介於約0.4到約1.6 sccm/cm2 之間,例如,介於約0.8至約1.2 sccm/cm2 之間,例如,約1.0 sccm/cm2 。此外,在H2 和SiH4 (H2 :SiH4 )之間的氣流比例係介於約1:1至約18:1之間,例如,約7:1。
在一些實施例中,第二主動層將沉積於一般類似於上述層之溫度,其介於約150℃至250℃之間,例如,大約200℃。電漿之使用一般被施加以13.56 MHz的射頻功率,其功率水平介於約400 W至2,000W之間,例如,介於約500 W至900W之間,例如,約750 W。在一些實施例中,特定功率可介於約0.07 W/cm2 至約0.40 W/cm2 之間,例如,介於約0.09 W/cm2 至約0.20 W/cm2 之間,例如,約0.12 W/cm2 。施加至第四氣體混合的氣流之功率比例一般將是介於約0.05 W/sccm至約0.15 W/sccm之間,例如,約0.11W/sccm。處理壓力一般維持在低於約5 Torr之下,例如,介於約1.0 Torr至約5.0 Torr之間,例如,約2.1 Torr。在一些實施例中,電極和基板間的空間一般介於約400 mils和900 mils之間,例如,約500 mils。這些條件通常導致第二主動層之相對高的沉積速度。第二主動層的沉積速度一般將高於第一主動層,並可能會高於或低於第一或第二介電層的沉積速度。在如上所述的示例性實施例中,第二主動層的沉積速度將介於約500至1800/min之間,例如,約700/min。在一些實施例中,第二主動層一般沉積達一第四厚度,其介於約1,200埃至約2,000埃之間,例如,介於約1,500埃至約1,700埃之間,例如,約1,600埃。
在步驟310中,一含摻質矽層係沉積於第二主動層。含摻質矽層可以是n型摻質或P型摻質之非晶矽層。在其他實施例中,含摻質矽層可能是一混合矽鍺層,其以n型和p型摻質物來摻質。摻質矽層可作為一電晶體的通道層,例如,上文中參照圖2說明之薄膜電晶體。使用的摻質可選自包含下列之群組:硼、磷、砷、以及它們的組合。在一示例性實施例中,其中含摻質矽層是一摻質非晶矽層,一第五氣體混合被提供至一製程腔室,其可以是用來形成先前介電層和主動層的相同製程腔室,或不同製程腔室。第五氣體混合包括一矽源,例如,一矽烷、一烷基矽烷、一矽氧烷、一矽氮烷、一矽醇、或其他具有n型摻質的矽源。在一示例性實施例中,n型摻質可以是一含磷前驅物,例如,磷化氫(PH3 )或低聚物磷化氫。第五氣體混合也可能包括與矽源不同的氫源,例如,氫氣。
在一示例性實施例中,其中矽源是矽烷(SiH4 ),而氫源是氫氣(H2 ),以及摻質前驅物是磷化氫(PH3 ),而基板的尺寸相同於上述實施例,第五氣體混合的流速可以是介於約3,000 sccm至約20,000 sccm之間,例如,介於約6000 sccm至約17,000 sccm之間,例如,約11,500 sccm。SiH4 氣體的氣流介於約500至約1,400 sccm之間,例如,介於約700 sccm和約1,100 sccm之間,例如,約900 sccm。H2 氣體之氣流介於約3,000到15,000 sccm,例如,約5,000 sccm至約13,000 sccm,例如,約9,500 sccm。PH3 氣體之氣流介於約100到約3,000 sccm之間,例如,介於約300 sccm至約2,000 sccm之間,例如,約1,000 sccm。
在一些實施例中,第五氣體混合之特定流速介於約0.6到2.0 sccm/cm2 之間,例如,介於約0.9至約1.9 sccm/cm2 之間,例如,約1.9 sccm/cm2 。SiH4 氣體之特定流速介於約0.08到約0.24 sccm/cm2 之間,例如,介於約0.11至約0.17 sccm/cm2 ,例如,約0.14 sccm/cm2 。H2 氣體之特定流速介於約0.5到約2.5 sccm/cm2 之間,例如,介於約1.0至約2.0 sccm/cm2 之間,例如,約1.5 sccm/cm2 。PH3 氣體之特定流速介於約0.03到約0.5 sccm/cm2 之間,例如,介於約0.04至約0.30 sccm/cm2 之間,例如,約0.17 sccm/cm2 。此外,H2 和SiH4 (H2 :SiH4 )之間的氣體流量比例約介於2:1至約36:1之間,例如約13:1,及H2 和PH3 間之氣體流量比例一般約10:1(即,PH3 佔有約H2 體積的0.5%)。
在一些實施例中,含摻質矽層將沉積於一般類似於上述層之溫度,其介於約150℃至約250℃之間,例如,大約200℃。電漿之使用一般被施加以13.56MHz的射頻功率,其功率水平介於約100 W至600W之間,例如,介於約200 W至500W之間,例如,約350 W。在一些實施例中,特定功率可介於約0.01 W/cm2 至約0.10 W/cm2 之間,例如,介於約0.03 W/cm2 至約0.08 W/cm2 之間,例如,約0.06 W/cm2 。施加至第五氣體混合的氣流之功率比例一般將是介於約0.02 W/sccm至約0.04 W/sccm之間,例如,約0.03 W/sccm。處理壓力一般維持在低於約5 Torr之下,例如,介於約1.5 Torr至約5 Torr之間,例如,約2.5 Torr。在一些實施例中,電極和基板間的空間一般介於約400 mils和900 mils之間,例如,約550 mils。這些條件導致含摻質矽層的沉積速率高於第一主動層但低於第二主動層。在如上所述的示例性實施例中,含摻質矽層的沉積速度將介於100/min至約500/min之間,例如,約200/min。在一些實施例中,含摻質矽層將被沉積達一第五厚度,其介於約200埃至約600埃之間,例如,介於約300埃至約500埃之間,例如,約400埃。
在步驟312中,一導電層可形成在含摻質矽層上。該導電層可以是一種金屬或金屬合金,以及可依據習知技藝藉由濺射沉積。一鈍化層也可形成在導電層之上。在一些實施例中,鈍化層可能是一含矽和氮層,例如,氮化矽,且亦可由習知技藝來形成。
根據特定實施例,方法300的步驟可執行在相同的製程腔室或不同的製程腔室。在一些實施例中,例如,在一單一製程腔室中,執行步驟302-310可能是有利的。
示例
在一第一示例中,藉由沉積一富矽氮化矽層在一基板上形成一薄膜電晶體,其中該基板上形成有一底閘極層。富矽氮化矽層被沉積達一厚度約2800。一氮化矽層被形成在相同製程腔室中,且被形成在富矽氮化矽層上,達到約500的深度。一第一非晶矽層被形成在氮化矽層上達到約300的厚度。而後在同一製程腔室中,以一高沉積速度將非晶矽的一第二主動層沉積在該第一主動層上,達到厚度約1600。再次在相同的腔室中,在其上沉積一摻質非晶矽層達到約400的厚度。而頂部已增加金屬接觸和鈍化層。各種沉積步驟的製程條件詳列如下表1。
由此產生的TFT顯示出閾值電壓0.10伏特、開啟電流2.98微安培(μA)、關閉電流4.05微微安培(pA)、0.8 cm2 /V-sec的遷移率、及次閾值擺動0.62 V/dec。
表2和表3之製程條件顯示兩個互相比較之示例。表4繪示由此產生的TFT之特性。示例1產生的TFT之特性亦包括在表4中,以便於比較。表5節錄在80℃和+/-40V的閘極偏壓時每一示例的偏壓溫度應力資料,其顯示相較於比較的示例已改善了示例1之閾值電壓漂移。
表5-偏壓溫度應力資料
因此,此處所述的方法有利於藉由控制閘極介電層和半導體層的薄膜特性,改善TFT裝置的電子遷移率、穩定性和和均勻度。
當以上文敘述本發明實施例時,亦可採取其他和進一步的實施例而不偏離其基本範疇,而其範疇係由后附申請專利範圍所決定。
100...腔室
102...腔壁
104...底部
106...蓮蓬頭
108...開口
110...真空泵
112...背板
114...壁架
116...致動器
118...基座
120...基板
122...舉升銷
124...加熱和/或冷卻元件
126...接地線
128...射頻電源
130...遠端電漿源/射頻扼流圈單元
300...流程圖
302~312...步驟
132...氣源
134...托架
136...壁架
138...微波源
150...支架
200...TFT結構
202...基板
204...第一介電層
206...底部閘極層
208...第二介電層
210...第一主動層
212...第二主動層
214...摻質半導體層
216...金屬層
218...鈍化層
所以,上述簡介之本發明的特徵可參考實施例進一步理解和敘述,部分實施例係繪示於附圖中。然而要指出的是,附圖僅說明本發明之典型實施例,因此不應被視為其範圍之限制,本發明亦適用於其他具有同等功效的實施例。
圖1是一截面圖,其繪示依據本發明之一實施例的一PECVD設備。
圖2係一TFT結構之示意圖。
圖3是一流程圖,其節錄根據一實施例之方法。
為了便於理解,已經在可能的情況下,使用相同的元件符號指示各圖式中相同的元件。意即,在一實施例中所揭示之元件亦可用於其他實施例而無需特別指明。
200...TFT結構
202...基板
204...第一介電層
206...底部閘極層
208...第二介電層
210...第一主動層
212...第二主動層
214...摻質半導體層
216...金屬層
218...鈍化層

Claims (13)

  1. 一種用以形成一薄膜電晶體之方法,包含下列步驟:以一第一速率,在一基板上形成一富矽氮化矽層;以一第二速率,在該富矽氮化矽層上形成一氮化矽層;以一第三速率,在該氮化矽層上形成一第一非晶矽層;以一第四速率,在該第一非晶矽層上形成一第二非晶矽層,其中該第三速率低於該第四速率。
  2. 如申請專利範圍第1項所述之方法,其中該第二速率低於該第一速率。
  3. 如申請專利範圍第1項所述之方法,其中該富矽氮化矽層具有一矽氮比值,該矽氮比值大於約0.83:1。
  4. 如申請專利範圍第1項所述之方法,其中該富矽氮化矽層具有一矽氫鍵含量,該矽氫鍵含量介於約18原子百分比及約30原子百分比之間。
  5. 如申請專利範圍第1項所述之方法,其中該富矽氮化矽層的厚度比該氮化矽層來得厚。
  6. 如申請專利範圍第1項所述之方法,其中該第二非晶矽層的厚度比該第一非晶矽層來得厚。
  7. 如申請專利範圍第2項所述之方法,其中該富矽氮化矽層具有一矽氮比值,該矽氮比值大於約0.83:1。
  8. 一種用以形成一薄膜電晶體之方法,包含下列步驟: 以一第一速率,在一基板上形成一富矽氮化矽層,達一第一厚度;在該富矽氮化矽層上形成包含金屬之一底部閘極層;以一第二速率,在該底部閘極層上形成一氮化矽層,達一第二厚度;以一第三速率,在該氮化矽層上形成一第一非晶矽層,達一第三厚度;以一第四速率,在該第一非晶矽層上形成一第二非晶矽層,達一第四厚度;在該第二非晶矽層上形成一摻矽載層,達一第五厚度;及在該摻矽載層上形成一導電接觸層。
  9. 如申請專利範圍第8項所述之方法,其中該第二速率低於該第一速率,及該第三速率低於該第四速率。
  10. 如申請專利範圍第8項所述之方法,其中該第二厚度小於該第一厚度,及該第三厚度小於該第四厚度。
  11. 如申請專利範圍第8項所述之方法,其中該富矽氮化矽層和該氮化矽層係沉積在相同的腔室。
  12. 如申請專利範圍第11項所述之方法,其中該富矽氮化矽層具有一矽氮比值,該矽氮比值大於約0.83:1。
  13. 如申請專利範圍第12項所述之方法,其中該富矽氮化 矽層具有一矽氫鍵含量,該矽氫鍵含量介於約18原子百分比及約30原子百分比之間。
TW098112847A 2008-04-17 2009-04-17 低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進 TWI393191B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US4582108P 2008-04-17 2008-04-17

Publications (2)

Publication Number Publication Date
TW201001561A TW201001561A (en) 2010-01-01
TWI393191B true TWI393191B (zh) 2013-04-11

Family

ID=41199732

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098112847A TWI393191B (zh) 2008-04-17 2009-04-17 低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進

Country Status (4)

Country Link
US (1) US8110453B2 (zh)
CN (1) CN102007597B (zh)
TW (1) TWI393191B (zh)
WO (1) WO2009129391A2 (zh)

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8110453B2 (en) * 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102629555B (zh) * 2011-10-11 2014-11-26 北京京东方光电科技有限公司 栅极绝缘层、tft、阵列基板、显示装置以及制备方法
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR20130114996A (ko) * 2012-04-10 2013-10-21 삼성디스플레이 주식회사 표시 장치 및 그 제조방법
CN102664194B (zh) * 2012-04-10 2015-01-07 深超光电(深圳)有限公司 薄膜晶体管
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN105990448B (zh) * 2015-02-16 2019-06-18 南京瀚宇彩欣科技有限责任公司 薄膜晶体管
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11061263B2 (en) * 2016-07-28 2021-07-13 Sharp Kabushiki Kaisha Touch-panel-equipped display device
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN109103103B (zh) * 2018-07-16 2021-04-23 惠科股份有限公司 薄膜晶体管及其制备方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN109103105A (zh) * 2018-07-26 2018-12-28 惠科股份有限公司 薄膜晶体管及其制备方法、显示装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109817575A (zh) * 2018-12-24 2019-05-28 惠科股份有限公司 阵列基板的制备方法、装置及阵列基板
CN109742150A (zh) * 2018-12-25 2019-05-10 惠科股份有限公司 一种阵列基板及其制造方法和显示面板
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112909087A (zh) * 2021-03-08 2021-06-04 滁州惠科光电科技有限公司 一种显示面板、薄膜晶体管及其制备方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5834345A (en) * 1995-09-28 1998-11-10 Nec Corporation Method of fabricating field effect thin film transistor
US20010012648A1 (en) * 1999-12-20 2001-08-09 Seong-Su Lee Thin film transistor and method of fabricating the same
US6355943B1 (en) * 1998-10-07 2002-03-12 Lg. Philips Lcd Co., Ltd. Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
TW200502626A (en) * 2002-12-19 2005-01-16 Koninkl Philips Electronics Nv Liquid crystal displays
JP2005045139A (ja) * 2003-07-25 2005-02-17 Sony Corp 薄膜トランジスタの製造方法、薄膜トランジスタ、および表示装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5041888A (en) * 1989-09-18 1991-08-20 General Electric Company Insulator structure for amorphous silicon thin-film transistors
DE69323716T2 (de) * 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
JP3173926B2 (ja) 1993-08-12 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及びその半導体装置
US6331717B1 (en) 1993-08-12 2001-12-18 Semiconductor Energy Laboratory Co. Ltd. Insulated gate semiconductor device and process for fabricating the same
JPH0878719A (ja) 1994-09-01 1996-03-22 Nec Corp 光電変換素子
GB9525784D0 (en) * 1995-12-16 1996-02-14 Philips Electronics Nv Hot carrier transistors and their manufacture
TW381187B (en) * 1997-09-25 2000-02-01 Toshiba Corp Substrate with conductive films and manufacturing method thereof
GB9726094D0 (en) 1997-12-10 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
GB9726511D0 (en) * 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP2001077366A (ja) * 1999-08-20 2001-03-23 Internatl Business Mach Corp <Ibm> 薄膜トランジスタ、液晶表示装置、及び薄膜トランジスタの製造方法
JP3637332B2 (ja) * 2002-05-29 2005-04-13 株式会社東芝 半導体装置及びその製造方法
US6764883B1 (en) 2003-01-07 2004-07-20 International Business Machines Corp. Amorphous and polycrystalline silicon nanolaminate
US7042052B2 (en) 2003-02-10 2006-05-09 Micron Technology, Inc. Transistor constructions and electronic devices
KR100683149B1 (ko) * 2003-03-31 2007-02-15 비오이 하이디스 테크놀로지 주식회사 액정표시소자용 어레이기판의 스트레스 제거방법
EP1574597B1 (en) * 2004-03-12 2012-01-11 Universiteit Utrecht Holding B.V. Process for producing thin films and devices
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20060019502A1 (en) * 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
KR101107682B1 (ko) 2004-12-31 2012-01-25 엘지디스플레이 주식회사 표시 소자용 박막 트랜지스터 기판 및 그 제조 방법
US20080158635A1 (en) 2005-02-23 2008-07-03 Pixtronix, Inc. Display apparatus and methods for manufacture thereof
JP4805587B2 (ja) * 2005-02-24 2011-11-02 エーユー オプトロニクス コーポレイション 液晶表示装置とその製造方法
US20080012065A1 (en) 2006-07-11 2008-01-17 Sandisk Corporation Bandgap engineered charge storage layer for 3D TFT
CN100576472C (zh) 2006-12-12 2009-12-30 中芯国际集成电路制造(上海)有限公司 具有非晶硅monos存储单元结构的半导体器件及其制造方法
US20090090913A1 (en) 2007-10-03 2009-04-09 Walker Andrew J Dual-gate memory device with channel crystallization for multiple levels per cell (mlc)
US8110453B2 (en) * 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
KR101627728B1 (ko) * 2008-12-30 2016-06-08 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5834345A (en) * 1995-09-28 1998-11-10 Nec Corporation Method of fabricating field effect thin film transistor
US6355943B1 (en) * 1998-10-07 2002-03-12 Lg. Philips Lcd Co., Ltd. Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
US20010012648A1 (en) * 1999-12-20 2001-08-09 Seong-Su Lee Thin film transistor and method of fabricating the same
TW200502626A (en) * 2002-12-19 2005-01-16 Koninkl Philips Electronics Nv Liquid crystal displays
JP2005045139A (ja) * 2003-07-25 2005-02-17 Sony Corp 薄膜トランジスタの製造方法、薄膜トランジスタ、および表示装置

Also Published As

Publication number Publication date
CN102007597B (zh) 2014-02-19
US8110453B2 (en) 2012-02-07
WO2009129391A3 (en) 2010-02-18
US20090261331A1 (en) 2009-10-22
TW201001561A (en) 2010-01-01
CN102007597A (zh) 2011-04-06
WO2009129391A2 (en) 2009-10-22

Similar Documents

Publication Publication Date Title
TWI393191B (zh) 低溫薄膜電晶體製程、裝置特性、和裝置穩定性改進
KR100741435B1 (ko) 필름 형성 전구체를 제어함으로써 실리콘 질화물 필름의 특성 및 균일성을 제어하는 방법 및 실리콘 질화물을 포함하는 tft 소자
US7754294B2 (en) Method of improving the uniformity of PECVD-deposited thin films
US7884035B2 (en) Method of controlling film uniformity and composition of a PECVD-deposited A-SiNx : H gate dielectric film deposited over a large substrate surface
US7785672B2 (en) Method of controlling the film properties of PECVD-deposited thin films
US7011866B1 (en) Method and apparatus for film deposition
TWI550722B (zh) 於基板上形成矽層之方法、形成矽氧化物層之方法及具有其之金屬氧化物薄膜電晶體元件
US4804640A (en) Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
TWI291235B (en) Low temperature process for TFT fabrication
US20030185980A1 (en) Thin film forming method and a semiconductor device manufacturing method
JP2002053954A (ja) 物理蒸着真空チャンバ、物理蒸着方法、薄膜デバイスおよび液晶ディスプレイ
US20110095402A1 (en) Gate dielectric film with controlled structural and physical properties over a large surface area substrate
US7186663B2 (en) High density plasma process for silicon thin films
US7589031B2 (en) Method of avoiding haze formation on surfaces of silicon-containing PECVD-deposited thin films
JP2001189275A (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法
TW201104752A (en) High temperature thin film transistor on soda lime glass
US20050202652A1 (en) High-density plasma hydrogenation
KR20000069692A (ko) 반도체장치 및 그 제조방법
US20100173448A1 (en) High frequency plasma enhanced chemical vapor deposition
KR940005290B1 (ko) 유전체 박막을 형성하는 방법 및 그 박막을 포함하는 반도체 장치
JP2020004913A (ja) 半導体装置の製造方法
US11380801B2 (en) Process to reduce plasma induced damage
JP6763093B2 (ja) 半導体装置の製造方法
JP2002030432A (ja) スパッタリング装置およびスパッタリング方法
KR100451507B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees