TWI329668B - High selectivity cmp slurry composition for sti process in semiconductor manufacture - Google Patents

High selectivity cmp slurry composition for sti process in semiconductor manufacture Download PDF

Info

Publication number
TWI329668B
TWI329668B TW093128562A TW93128562A TWI329668B TW I329668 B TWI329668 B TW I329668B TW 093128562 A TW093128562 A TW 093128562A TW 93128562 A TW93128562 A TW 93128562A TW I329668 B TWI329668 B TW I329668B
Authority
TW
Taiwan
Prior art keywords
composition
group
salt
slurry composition
isothiazoline
Prior art date
Application number
TW093128562A
Other languages
Chinese (zh)
Other versions
TW200600569A (en
Inventor
Chang-Il Oh
Kil-Sung Lee
Tae-Kyu Kim
Won-Lae Kim
Original Assignee
Cheil Ind Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cheil Ind Inc filed Critical Cheil Ind Inc
Publication of TW200600569A publication Critical patent/TW200600569A/en
Application granted granted Critical
Publication of TWI329668B publication Critical patent/TWI329668B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

1329668 九、發明說明: 【發明所屬之技術領域】 [技術領域] 本發明係有關用於淺溝隔離(STI)製程之高選擇性 5化學機械研磨(CMP)於漿。 【先前技術】 [背景技術] 近來’供製造半導體元件用的技術之進步係以微製程 10技術為基礎來向前推進’尤其是一用來將元素彼此隔離的 元素隔離膜之微型化已逐漸成為微米技術的一個重要課 題。 作為一傳統的元素隔離技術,通常使用一種涉及將一 厚氧化物膜生長在一半導體基材上以形成一元素隔離膜的 15 L0C0S (區域石夕氧化法)技術,但是該LOCOS技術會有諸 如歸因於元素隔離膜側向擴散而減少的活化區以及鳥嘴 (bird's beak)之缺點。因此,LOCOS技術不能適用於 大容量記憶體,在該等中的元素設計尺寸係減小成比次微 来級更小’於是需要一種新穎的元素隔離技術。 20 為達到一半導體元件之高積體與高堆積密度,具體地 說,一種STI (淺溝隔離)製裎已被引進以作為一用來替 代傳統的LOCOS技術之技術’該STI製程涉及將氮化石夕附 加至一矽晶圓以於其上形成淺溝,用化學氣相沉積法(CVD) 在淺溝上沉積一層氧化物膜,之後如第1圖所示,經由化 5 1329668 學機械研磨(CMP)技術來進行大面積之平坦化。 在STI CMP當中’較佳使用一高選擇性的淤激組成 物,相較於作為抗磨層的氮化矽(SiN),其能夠選擇性地 優先移除二氧化矽(Si02)。理想地,STI CMP對於 5 的移除速率係接近0,而STI CMP對於Si〇2的移除速率 則是越快越好。 本文中所使用的「選擇性」一詞係欲描述藉由於CMP 製程中使用相同的淤漿所得到的SiN移除速率比上Si02 移除速率之比率《選擇性係由Si〇2移除速率(典型地以 10 A/min表示)除以siN移除速率來計算。傳統的CMP淤漿 組成物常展現小於10而典型地約為4之選擇性。 由於相較於二氧化矽,目前使用的一般用途CMP淤漿 組成物對於移除氮化矽僅有約為4的低選擇性’氮化矽會 被研磨超過一實際製程中所容許的蝕刻範圍。因此在CMP 15製程期間,氮化矽圖案可能不會均一地自晶圓部分被移 除’於是氮化矽厚度在整片晶圓上的變動係不町避免地顯 著。第5圖展示一具有磨蝕(erosion)的元素之截面圖’ 該磨蚀導致一佈線集中的區域會被過度研磨(相較於一低 佈線密度區域,例如一佈線隔離區域),於是具·有佛線集中 20 在其上的區域之表面會比其他區域更加凹陷。 此事可能會是個問題,尤其是當半導體晶圓表面係同 時具有高與低密度圖案的時候,如第2圖所示。也就是’ 由於佈線集中區域的研磨過度所造成之氮化矽損耗’但同 時二氧化矽仍殘留在氮化矽的表面上,此乃研磨不足係出 6 現在一個佈線係遍佈在廣大區域的區域當中。 此現象導致後續元素生成製程的邊緣縮小(如第3圖 所示),結果造成電晶體及元素的性能降低。因此,在藉由 CMP製程將以氧化物膜移除之後,已完成氮化矽蝕刻的圖 案層係較佳具有均一厚度》 因此’為逹到ST工製程諸如因CMP處理後晶圓上的泡 泡變少所帶來的凹陷減少以及藉由讓氮化矽的被移除量在 CMP研磨後減至最低之特徵來增加CMP製程邊緣之改良, 對於一具有相對於氮化矽而特別針對二氧化矽的高研磨選 擇性之高選擇性淤漿組成物的應用有增多的需要。 作為適用於該種製程之淤漿組成物,專利公開申請案 W〇99/4376l揭示了一種用於半導體元件的淤漿組成物, 該組成物包含一水溶性有機化合物,其具有至少一選自於 下列之官能基:水、氧化鈽、_c〇〇H基團、_c〇〇Mx基團(其 中Mx係-原子或是-可被氫原子取代㈣成鹽類之官能 基)、-s〇3H基團以及S0;bMy基團(其中…係一原子或是 -可被氫原子取代而形成鹽類之官能基),若有需要,上述 組成物可添加有-螯合劑,以及—種進—步地使用該種於 漿組成物來形成STI的方法^使_種上述專利公開案所 揭示騎漿組成物可能會有諸如高選擇性與對晶圓表面造 成較少損傷之優點’但是亦會有在研磨後晶圓浸洗性質不 足的缺點。 美國專利第5,738,800號揭示一種組成物,其包含 水 '細粒(研磨顆粒)、表面活性劑與錯合劑(其具有二或 多個可和二氧化矽與氮化矽形成錯合物的官能基)以及一 種使用該種組成物來形成STI的方法。在該方法中, 與錯合劑共同使用的表面活性劑並不是用於一般用途 (亦即顆粒之穩定分散),而是據信該表面活性劑會影響氡 化石夕的研磨速率。然而’存於該等之間的反應性係、特別= 被提及。上述組成物展現較一般用途的淤漿更優越的選擇 性,但該組成物的使用僅限於在一 PH 6至7的狹小範圍 内有效。而且,該專利宣稱表面活性劑之添加係必需的, 且特別地使用其中添加有約0.1%至約〇.5%之以氣化气為 基底的表面活性劑的研磨組成物。但是,該表面活性劑仏 具有強力的清潔作用或起泡性,因此不能說該包含表面舌 性劑之淤漿必定適合作為一種用來研磨半導體元件的於漿 組成物。 、 曰本專利公開申請案第ποο-ΐπ95號揭 旬不一種氧化 鈽淤漿組成物,其包含氧化鈽顆粒、丙烯酸銨與丙烯酽 酯之共聚物以及水。該淤漿組成物具有相對高的穩定产 所以甚至在製備後使其擺放超過3天也不會分成兩層X 是,當以該淤漿組成物如以上所描述來研磨〜 ^"成在圖案 化基材上的絕緣膜層時,位於凹進部位的二氣化石夕彳彡。产 地被研磨且藉此產生極深之窪陷,其中位於四造部4 氧化矽之中央部位相對於在基材上的氮化矽平 陷,不可能獲得一平坦表面。 係為凹 曰本專利第313〇279號揭示一種供研磨用 π的於漿組 成物’其包含一諸如為氧化鈽之研磨劑以及〜高分子曰電 1329668 解質(其具一相對於該研磨劑相關電荷係具有不同離子性 的電荷),其中該高分子量電解質係具約500至約1〇, 000 之分子量且其相較於研磨劑之量係自約5重量%至約50 重量%。使用該於漿組成物,一形成在一未圖案化基材上的 5 絕緣膜層可被研磨以絕佳的研磨速度。但是,當使用以上 描述之淤漿組成物來研磨一形成在一圖案化基材上的絕緣 膜層時,會產生極深的窪陷而不可能獲得一平坦表面。而 且’就研磨液分散情況而言,發現到該組成物在製備後使 其擺放約1小時就會分成兩層。 10 美國專利第5,"759, 917號揭示一種淤漿組成物,在 製造積體電路與半導體的時候’相較於氮化;6夕(其為阻障 層(stopping layer)),該組成物選擇性地優先研磨二 氧化石夕(其為滿溢層(overfill layer))。該於漿_組成 物係包含缓酸、鹽類與水溶性氧化鈽化合物且具有約3至 15 11之PH值。該專利宣稱該淤漿組成物可展現5至1〇〇之 選擇性’但是所報導的最高選擇性僅有34·89 ,而且該專 利中的大部份實施例則展示出小於2 0之有限選擇性。 歐洲專利公開案第0 786 5〇4 A2號揭示一種包含氮 化矽顆粒、水及酸的CMP淤漿組成物。相較於氮化矽,該 2〇淤漿組成物展現出研磨二氧化矽的高選擇性。其實施例中 所報導之最高選擇性是32.5 ’但同時該案中的大部份實施 例則展示出小於20之有限選擇性。 歐洲專利公開案第0 846 74〇 A1號揭示一種用於 sti製程的CMP淤漿組成物,其包含一研磨劑及一具有約 9 500至1G'QQ。之分子量的高分子量電解質,舉例來說, 聚乙稀亞胺。該於㈣成物的PH值應維持在9至u的範 圍内而在此方面’,又有任何資訊顯示氮化梦與二氧化石夕 間的選擇性多寡。 5 M專利公開案第335 A2號揭示—種用於 STI製程的㈣齡漿組成物,其包含-混合物,於其中 TMAH (氫氧化四甲錢)與過氧化氫係加至-般用途的CMP 游聚(即典型的膠體二氧化石夕)當中。據報導,該於浆組 1〇成物係可使選擇性從4改良至高達3〇。前提是該於浆需被 維持在約11至I2.9之狹小即範圍内。 美國專利第6,616,514號揭示一種⑽齡聚組成 物’其包含-研磨劑、水以及—有機多元醇(其具有至少 三個不會娜賴基)。在祕—錢巾,氧化剌磨顆 粒係用作為研磨劑,而該有機多元醇係為至少—選自於由 15下列所構成的群組者:甘露醇、山㈣、甘露糖、木糖醇、 山梨醣、嚴糖以及糊精。而且據報導,該齡聚組成物可於 - 2至12的廣大pH範圍内在氮化石夕與二氧化石夕之間展現 一高選擇性,但是該組成物内所含的有機多元醇係為生物 可降解材料’其容易在2至9的pH範圍内腐敗而導致貯 2〇存穩定性明顯地下降。因此,實際上有用的PH範圍係限制 為pH 9至12。1329668 IX. Description of the Invention: [Technical Field] [Technical Field] The present invention relates to a highly selective 5 chemical mechanical polishing (CMP) for a shallow trench isolation (STI) process. [Prior Art] [Background Art] Recently, the advancement of the technology for manufacturing semiconductor elements is based on the micro-process 10 technology. In particular, the miniaturization of an element isolation film for isolating elements from each other has gradually become An important topic in micron technology. As a conventional element isolation technique, a 15 L0C0S (regional oscillating oxidation) technique involving growing a thick oxide film on a semiconductor substrate to form an elemental isolation film is generally used, but the LOCOS technology will have such as The activation zone due to the lateral diffusion of the elemental barrier film and the disadvantages of the bird's beak. Therefore, the LOCOS technique cannot be applied to large-capacity memories in which the element design size is reduced to be smaller than the sub-micro level. Thus, a novel element isolation technique is required. 20 In order to achieve a high product and high bulk density of a semiconductor device, specifically, an STI (Shallow Trench Isolation) system has been introduced as a technology to replace the conventional LOCOS technology. The STI process involves nitrogen. Fossils are attached to a wafer to form shallow trenches thereon, and an oxide film is deposited on the shallow trenches by chemical vapor deposition (CVD), and then mechanically polished according to Figure 1 through 5 1329668 ( CMP) technology to flatten large areas. In the STI CMP, it is preferable to use a highly selective silicic composition which selectively selectively removes cerium oxide (SiO 2 ) as compared with tantalum nitride (SiN) which is an anti-friction layer. Ideally, the STI CMP removal rate for 5 is close to zero, while the STI CMP removal rate for Si〇2 is as fast as possible. The term "selectivity" as used herein is intended to describe the ratio of SiN removal rate to Si02 removal rate obtained by using the same slurry in a CMP process. (typically expressed in 10 A/min) divided by the siN removal rate. Conventional CMP slurry compositions often exhibit a selectivity of less than 10 and typically about 4. Since the general-purpose CMP slurry composition currently used has only a low selectivity of about 4 for removing tantalum nitride compared to ruthenium dioxide, the tantalum nitride is polished beyond the allowable etching range in an actual process. . Therefore, during the CMP 15 process, the tantalum nitride pattern may not be uniformly removed from the wafer portion. Thus, the variation of the thickness of the tantalum nitride on the entire wafer is not conspicuous. Figure 5 shows a cross-sectional view of an element with erosion. The abrasion causes an area of concentrated wiring to be over-polished (compared to a low-wiring density area, such as a wiring isolation area), so that there is a Buddha The surface of the area on which the line is concentrated 20 will be more concave than the other areas. This can be a problem, especially when the surface of the semiconductor wafer has both high and low density patterns, as shown in Figure 2. That is, 'the tantalum nitride loss due to over-grinding in the concentrated area of the wiring', but at the same time the cerium oxide remains on the surface of the tantalum nitride, which is an under-grinding system. 6 Now a wiring system is spread over a large area. among. This phenomenon causes the edge of the subsequent element generation process to shrink (as shown in Figure 3), resulting in reduced performance of the transistors and elements. Therefore, after the oxide film is removed by the CMP process, the pattern layer which has completed the tantalum nitride etching preferably has a uniform thickness. Therefore, it is a process such as a process on the wafer after the CMP process. The reduction in sag caused by less blistering and the improvement of the CMP process edge by the feature that the amount of cerium nitride removed is minimized after CMP grinding, for one with respect to tantalum nitride, especially for two There is an increasing need for the use of highly abrasive selective high selectivity slurry compositions of cerium oxide. As a slurry composition suitable for such a process, the patent publication No. WO/99/4376l discloses a slurry composition for a semiconductor element, the composition comprising a water-soluble organic compound having at least one selected from the group consisting of The following functional groups: water, cerium oxide, _c〇〇H group, _c〇〇Mx group (wherein Mx-atom or - may be substituted by a hydrogen atom (4) into a salt-based functional group), -s〇 a 3H group and a S0; bMy group (wherein ... is an atom or a functional group which may be substituted by a hydrogen atom to form a salt), and if necessary, the above composition may be added with a chelating agent, and - a method of using the same in the slurry composition to form an STI. The disclosed composition disclosed in the above patent publication may have advantages such as high selectivity and less damage to the wafer surface. There is a disadvantage that the wafer dipping property is insufficient after grinding. U.S. Patent No. 5,738,800 discloses a composition comprising water 'fine particles (abrasive particles), a surfactant and a complexing agent having two or more functional groups which can form a complex with cerium oxide and cerium nitride. And a method of using such a composition to form an STI. In this method, the surfactant used in combination with the binder is not used for general use (i.e., stable dispersion of particles), but it is believed that the surfactant affects the polishing rate of the fossilized day. However, the reactivity that exists between these, special = is mentioned. The above composition exhibits superior selectivity to a slurry for general use, but the use of the composition is limited to a narrow range of pH 6 to 7. Moreover, the patent claims that the addition of a surfactant is necessary, and in particular, a polishing composition to which a surfactant of about 0.1% to about 5% by volume of a gasification gas is added is used. However, the surfactant 仏 has a strong cleaning action or foaming property, so it cannot be said that the slurry containing the surface linguistic agent is necessarily suitable as a slurry composition for grinding a semiconductor element. The present invention is disclosed in the pp. ποο-ΐπ95, which is a cerium oxide slurry composition comprising cerium oxide particles, a copolymer of ammonium acrylate and propylene oxime ester, and water. The slurry composition has a relatively high stable yield so that it is not divided into two layers even after being placed for more than 3 days after preparation, when the slurry composition is ground as described above. When patterning the insulating film layer on the substrate, the two gas fossils located at the recessed portion. The ground is ground and thereby creates a very deep depression in which it is impossible to obtain a flat surface in the central portion of the yttrium oxide in the four portions 4 with respect to the tantalum nitride on the substrate. Is a concave 曰 〇 〇 〇 〇 〇 揭示 揭示 揭示 揭示 揭示 揭示 揭示 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨 研磨The agent-related charge is a charge having a different ionicity, wherein the high molecular weight electrolyte has a molecular weight of from about 500 to about 1,000,000 and is from about 5% by weight to about 50% by weight compared to the amount of the abrasive. Using the paste composition, a layer of 5 insulating film formed on an unpatterned substrate can be ground at an excellent polishing speed. However, when the slurry composition described above is used to grind an insulating film layer formed on a patterned substrate, an extremely deep depression is generated and it is impossible to obtain a flat surface. Moreover, as far as the dispersion of the slurry was concerned, it was found that the composition was divided into two layers after being placed for about one hour after preparation. U.S. Patent No. 5, < 759, 917, which discloses a slurry composition which is compared to nitriding in the manufacture of integrated circuits and semiconductors; 6 (which is a stopping layer), The composition selectively preferentially grinds the dioxide dioxide (which is an overfill layer). The slurry composition comprises a slow acid, a salt and a water soluble cerium oxide compound and has a pH of from about 3 to about 151. The patent claims that the slurry composition can exhibit a selectivity of 5 to 1 ' 'but the highest selectivity reported is only 34.89, and most of the examples in this patent show less than 20 finite. Selectivity. European Patent Publication No. 0 786 5〇4 A2 discloses a CMP slurry composition comprising cerium nitride particles, water and acid. The 2 〇 slurry composition exhibits high selectivity for grinding cerium oxide compared to cerium nitride. The highest selectivity reported in the examples is 32.5' but at the same time most of the examples in the case show a limited selectivity of less than 20. European Patent Publication No. 0 846 74 A1 discloses a CMP slurry composition for a sti process comprising an abrasive and a having about 9 500 to 1 G'QQ. A molecular weight high molecular weight electrolyte, for example, a polyethyleneimine. The pH of the (four) product should be maintained within the range of 9 to u. In this respect, there is any information showing the selectivity of the dream of nitriding and the day of the dioxide. 5 M Patent Publication No. 335 A2 discloses a (four) age slurry composition for an STI process comprising a mixture in which TMAH (tetramethyl hydroxide) and hydrogen peroxide are added to a general purpose CMP Swimming (that is, typical colloidal silica dioxide). It has been reported that this system can improve the selectivity from 4 to as high as 3 〇. The premise is that the slurry needs to be maintained within a narrow range of about 11 to 12.9. U.S. Patent No. 6,616,514 discloses a (10) age polymeric composition which comprises - an abrasive, water and - an organic polyol having at least three unreacted lysine groups. In the secret-money towel, the oxidized honing particles are used as an abrasive, and the organic polyol is at least selected from the group consisting of 15: mannitol, mountain (tetra), mannose, xylitol , sorbose, sugar and dextrin. Moreover, it is reported that the ageing polycomposition exhibits a high selectivity between the nitrite and the cerium dioxide in the broad pH range of -2 to 12, but the organic polyol contained in the composition is a biological The degradable material 'it is prone to spoilage in the pH range of 2 to 9 resulting in a significant decrease in storage stability. Therefore, the practically useful pH range is limited to pH 9 to 12.

Nitride 下列數篇文獻提到sti製程中所使用的CMp淤漿組 成物。藉由CMP製程增加二氧化矽與氮化矽間的選擇性之 於浆組成物係被揭不(見A High Oxide · 10 1329668Nitride The following documents refer to the CMp slurry composition used in the sti process. The increase in the selectivity between cerium oxide and tantalum nitride by the CMP process is revealed by the slurry composition system (see A High Oxide 10 1329668).

Selectivity CMP Slurry for Shallow Trench Isolation, by Sharath Hosali and Ray Lavoie, in Electromechanical Society Proceedings Volume 98-7 (1998), pages 218-234 )。該齡聚組 5 成物包含一獨特的液態化學品,其並未為公眾所知悉且抑 制氧化鈽研磨劑與氮化矽的研磨速率。此文獻已報導可於 一毯覆式石夕晶圓獲得南選擇性,但是當和用於一圖案化石夕 晶圓的一般用途ί於漿相比較時,兩者所獲得的選擇性則幾 乎相同β 10 作為另一文獻,''Application of Ceria-basedSelectivity CMP Slurry for Shallow Trench Isolation, by Sharath Hosali and Ray Lavoie, in Electromechanical Society Proceedings Volume 98-7 (1998), pages 218-234). The ageing group consists of a unique liquid chemical that is not known to the public and inhibits the polishing rate of cerium oxide abrasive and tantalum nitride. This document has been reported to achieve south selectivity in a blanket-type Shixi wafer, but when compared to the general purpose of a patterned fossil wafer, the selectivity obtained by the two is almost the same. β 10 as another document, ''Application of Ceria-based

High Selectivity Slurry to STI CMP For Sub 0.18 pmCMOS Technologies, by Ki-Sik Choi , Sang-Ick Lee, Chang-il Kim, Chul-Woo Nam, Sam-Dong Kim, and Chung-Tae Kim, CMP-MIC Conference, Feb. 15 n-12,1999, pages 307_313"揭示 了在一 STI 形成 製程中一種以氧化鈽為主的CMp淤漿組成物之使用,但是 沒有關於該淤漿組成物製備的特別資訊。此文件報導為使 一已知為「窪陷(Dishing)」的現象減至最小,一空白的 圖案化石夕晶圓係為必要的,窪陷現象亦即⑽製程期間淺 20充之形纟其係發生於被填充至一位於由氮化石夕所構成之 研磨阻障層的上表層之下方的溝槽區域之二氧化石夕上。而 I文件還報導有幾個問題與氧化#研磨劑所造成的刮 痕有關且該等問題可能可藉由更改過瀘方法來改善。 作為又另一文獻,、'A ProdUCti〇n-Proven Shallow 11 1329668High Selectivity Slurry to STI CMP For Sub 0.18 pmCMOS Technologies, by Ki-Sik Choi , Sang-Ick Lee, Chang-il Kim, Chul-Woo Nam, Sam-Dong Kim, and Chung-Tae Kim, CMP-MIC Conference, Feb 15 n-12, 1999, pages 307_313 " Reveals the use of a cerium oxide-based CMp slurry composition in an STI formation process, but there is no special information about the preparation of the slurry composition. This document reports that a phenomenon known as "Dishing" is minimized, and a blank pattern of fossil wafers is necessary, and the collapse phenomenon is (10) during the process. This occurs on a cerebral dioxide surface that is filled into a trench region below the upper surface layer of the abrasive barrier layer formed by the nitrite. The I document also reported several problems related to the scratches caused by the oxidized #abrasive and these problems may be improved by changing the sputum method. As yet another document, 'A ProdUCti〇n-Proven Shallow 11 1329668

Trench Isolation (STI) Solution Using Novel CMP Concepts, by Raymond R. Jin, Jeffery David, BobAbbassi, Tom Osterheld, and Fritz Redeker, CMP-MIC Conference on Feb. 11-12, 1999, pages 5 314-321〃揭示了使用一空白的圖案化矽晶圓以減少窪陷 可能會引起一些問題。一低選擇性或無選擇性淤漿組成物 可用來解決該等問題且窪陷可藉由CMP製程使用一系 統、設備及研磨頭之任何組合來減至最小。 ? 'Ά Wide Margin CMP and Clean Process 10 For Shallow Trench Isolation Applications, by Brad Withers, Eugen Zhoa, Rahul Jairath, CMP-MIC Conference on Feb. 19-20, 1998, pages 319-327〃提到由於方法成本所導致的製程複雜性與屏蔽 罩、圖案阻劑蝕刻、高選擇性材料以及跟一空白活化區域 15 有關之製程的必要。但是,該文件報導沒有能夠解決該等 問題的方法。 如上所述,STI CMP製程迫切需要一種淤漿組成物, 其展現在二氧化矽膜與氮化矽膜之間的高研磨速率選擇 性、對被研磨表面較少的損傷、良好的清洗性質、窪陷之 20 抑制及在一廣大的pH範圍内之有效性。 【發明内容】 [揭示内容] [技術課題] 12 1329668 因此,有鑒於以上課題,已做出本發明,本發明之一 目的係提供一種用以研磨半導體元件的淤漿組成物,該淤 漿組成物提供優先於氮化矽(SiN)之對於二氧化矽(Si〇2) 的移除速率之高選擇性,由於高度控制性,該淤漿組成物 5 可極佳地使用於CMP製程中,該淤漿組成物在一研磨製程 後造成較少的刮痕,於是可達到研磨品質的良好控制,該 淤漿組成抑制窪陷現象且在一廣大的pH範圍内皆有效,而 且,該淤漿組成物亦有良好的貯存穩定性。 10 [圖式說明] 本發明之上述或其他目的、特徵及其他優點將更清楚 地由下列詳細說明加上所附圖式來瞭解,其中: 第1圖係化學機械研磨裝置的示意圖; 15 第2圖係一以(1) 一般用途的CMP淤漿(選擇性為3 至4)與(2)高選擇性CMP淤漿(選擇性大於30)研磨之 元素截面圖; 第3圖係在一 STI製程中使用(1)高選擇性CMP淤 漿(選擇性大於30)與(2) —般用途的CMP淤漿(選擇性 20 為3至4)之元素截面圖; 第4圖係一具有窪陷的元素之截面圖; 第5圖係一具有磨蝕的元素之截面圖;以及 第6圖係圖解展示一測量窪陷量的方法。 13 1329668 【實施方式】 [最佳實施方式] 本發明係關於一種包含醣類的高選擇性CMP淤漿組成 物,更特別關於一種包含金屬氧化物、表面活性劑、畴類、 pH調節劑、防腐劑、穩定劑以及去離手水的CMp淤漿組成 物。 較佳地’一根據本發明之淤漿組成物係使用去離子水 作為溶劑且包含0.1至20重量%的金屬氧化物 、0.01 至 3重量%的表面活性劑、0〇〇1至5重量%的醣類、〇〇〇1 10 至5重量%的pH調節劑、〇.〇〇〇1至1重量%的防腐劑以 及0.00001至1重量%的穩定劑。 15 本發明所使用的金屬氧化物係一在CMp製程中進行物 理性研磨的研磨劑,只要不干擾本發明之目的,該金屬氧 化物並不限於特定種類。舉例來說:可使用由發煙法或溶 膠凝勝法所製備的材料,諸如二氧化石夕(si〇2)、氧化紹 (Al2〇3)、氧化鈽(Ce〇2)、二氧化錯(Zr〇2)、三氧化鉬 (M〇〇3)或二氧化鈦(Ti〇2)。前提是金屬氧化物的主要平 均粒子大小係較佳為1Q至1QQnm而次要平均粒子大小則 20 為5〇至400 nm。較佳地,金屬氧化物的添加量係為全部 齡聚組成物的0.1至20重量%。 &本發明所使用的表面活性劑之目的係分散穩定作用且 二達到分散穩定侧時,本發明之CMp_纟域物甚至能 長時間地維持均一的研磨品質。 作為可使驗本發_表面活_,―陰離子表面活 14 1329668 性劑可包括,舉例來說:羧酸及其鹽類、硫酸酯及其鹽類、 磺酸及其鹽類與磷酸酯及其鹽類;一陽離子表面活性劑可 包括,舉例來說:一級胺及其鹽類、二級胺及其鹽類、三 級胺及其鹽類與四級胺及其鹽類;以及一非離子性表面活 5 性劑可包括,舉例來說:聚乙二醇類和多羥基醇類。較佳 地,該等之添加量係0.01至3重量%。 作為使用於本發明之醣類,較佳使用半乳糖、*** 糖、核糖、木糖、麥芽糖醇、乳糖、麥芽糖或是聚三葡萄 糖(pullul an) °該等之添力σ量車交佳為0.001至5重量%。 10 當所添加的量少於0.001重量%或超過5重量%,則會產生 不能展現出所欲選擇性的問題。 在本發明中,添加醣類會產生高選擇性的理由據信是 因為相較於其他已知材料,醣類具有多數的親水性羥基 (ΟΗ)基團,而該等羥基基團對SiN有良好的親合力,於是 15 形成一層可防止SiN被研磨的保護層。 作為使用於本發明之pH調節劑,較佳使用硫酸、鹽 酸、硝酸、醋酸、氫氧化鈉、氫氧化鉀、氫氧化鋁以及鹼 式胺(basic amine)。較佳使用0.001至5重量%,以 達到根據本發明之所欲效應。 20 作為本發明所使用的防腐劑,可提及的是三(羥曱基) 硝基曱烷、六氫-1,3,5-三(羥乙基)-S-三嗉、六氫 -1,3,5-三乙基-S-三嗔、1- (3 -氯稀丙基)-3, 4, 7-三氮 -1-偶氮寧金剛烷氣〔1-(3-^11〇2:〇&1171)-3,4,7-triaza-1-azoniaadamantanechloride) 、4-(2-硝 15 1329668 基丁基)-嗎啉、4,4-(2_乙基_2_硝基三亞甲基卜二嗎 琳、納-2-吨啶硫醇-1-氧化物、u-苯并異噻唑啉_3_ _、5-氣-2-甲基-4-異噻唑琳-3-酮、2-甲基-4-異嘴唾 啉-3-酮、5_氯一2_苯乙基_3_異噻唑啉、[溴_2一十二 5烷基_3_異噻唑啉、4'5_二氯辛基_3_異嘍唑啉、 甲基-5-氯-2_(4丨-氯苯f基)_3_異噻唑啉、七5_二 氯-2-(4’-氯苯甲基)_3_異噻唑啉、4,5 —二氯_2_(4|_ 氣苯基)-3-異噻唑啉、4,5_二氯_2- (2,_甲氧基_3,-氯 苯基)-3-異噻唑啉、4,5 一二溴_2_(4, 一氯苯甲基)_3一異 H)噻唑啉、4-甲基-5—氣_2_(4·_羥苯基)_3_異噻唑啉;' 4,5-二氣-2-η-己基-3-異噻唑啉、5_氯_2_ (3,,4 · _二 氣苯基)_3-異噻唑啉、6一乙醯氧基_2,4_二甲基—二噚 烷)、2,2-二溴-3-腈基丙醯胺或碘(工2)。較佳地,該等 之添加量為0·0001至1重量%。 15 當所添加的量少於0.0001重量%時,則不能表現出所 欲的防腐效果’而當超過i重4%時,研磨性㈣會降低且 廢液處理會變得困難。 本發明所使用的穩定劑可包括,舉例來說:溴酸鈉 (NaBr〇3)、氯化鎂、硝酸鎂與硝酸銅三水合物以及丙二 20醇。較佳地,該等穩定劑係添加以〇〇〇〇〇1至丄重量%之 量。 當所添加的穩定劑量少於0.00001重量%時,則不能 獲得延長的穩定保存效果。當所添加的穩定劑量超過工重 量%時,酸的穩定性可能會降低。 16 1329668 在另一具體例中,本發明係提供一種用來製備CMP淤 襞組成物之套組,該套組係包含一第一容器,其含有金屬 氧化物、表面活性劑、pH調節劑與去離子水,以及一第二 容器,其含有表面活性劑、酷類、pH調節劑、防腐劑、穩 5 定劑與去離子水。當使用該套組時,淤漿組成物可藉由混 合該第一容器與第二容器的内容物來製備。 這是因為當第一與第二容器預混時,顆粒的分散穩定 性會隨著時間流逝而明顯地降低,於是可能會引起諸如出 現刮痕及研磨速率(PR)變動的問題。而且,當淤漿已製備 10 好且使用時,極可能會造成諸如生產線規模形態的問題。 因此,第一與第二容器係分別存放而在使用之前立刻混合。 [發明實施方式] 15 現在將參照下列實施例來更詳細地說明本發明。該等 實施例僅係提供為例示本發明之用,而不應被解讀為限制 本發明之範疇與精神。 實施例 20 實施例1 (1)淤漿組成物的製備 17 1329668 將-含有5重量%氧化飾的3⑻克懸浮液和咖克的 去離子水混合之後攪拌以製備主要混合物。在主 内加入_克具有下列組成的溶液並且_以製備供韻 用之淤漿組成物。 溶液組成 0.45 g 〇 . 91 g 0.91 g 0.03 g 0.01 g 0.23 g 897.46g 半乳糖 二甘醇 硫酸 5 -氯-2-甲基-4-異》塞η坐琳一酮 漠酸納(MaBr〇3) 丙二醇 去離子水 (2)研磨的評估 10 邮㈣估係制上較漿組成物根據下列方法及條 件來進行,結果展示於表1。 ' 在一具有用來貼附一基材之膜的研磨頭上〔該基材係 坐落於貼附有一 IClOOO/SubalV CMP 墊(Rodel Inc ) 以作為研磨墊之載盤(研磨桌與研磨墊)上〕,如第丄圓所 15示’係安裝著-具有8时直徑且其上形成有te〇s_電衆 CVD方法所製造之二氧切膜的石夕晶圓,使得該二氧化砂 膜面向下方,研磨條件係規定如下。 該游漿組成物係在表面盤上以2〇〇 ml/min的逮度旋 轉一分鐘以研磨二氧化石夕。然後將晶圓移離研磨頭且^著 20以去離子水、稀釋氫氟酸與稀釋氨水溶液依序清洗,並用 18 1329668 —旋轉乾燥機將水泡移除。之後,測定在研磨之前及之後 的厚度變化並使用n&k-l5〇0(n&k)計算研磨速率。 由低壓CVD方法所製造的氮化矽膜係於和二氧化秒相 同的條件下被研磨,然後測定在研磨之前及之後的厚度變 5 化並計算研磨速率。 絕緣膜表面上的到痕係小心地用Surf (KLA-Tencor)來檢查。 進一步地,平坦程度係藉由研磨STI圖案(其中佈線 寬度係20 0A而佈線之間的寬度係1,〇〇〇灰)並計算窪陷量 10來測量,該窪陷量係相當於氮化矽的上表面高度(其為佈 線上部)與中點高度(其中填在佈線之間的二氧化石夕係被 磨凹)之間的差異。如第6圖所示,窪陷量係在藉由使用 〇Pti-Probe 2 600 (Therma Wave Inc.,其係供測量 光學膜厚度之儀器)測量研磨前厚度〃以及如第6圖所示 15般測量研磨後厚度λ'Β"之後由該等之間的差異來決定。 研磨機及測蚤儀Η 研磨機:UNIPLA-211 $ UNICLEAN ( Semicontech 20 Co . , Ltd .) 研磨墊:iClOOO/SubalV 堆疊式(Rodel) 晶圓:PE-TEOS 8吋毯覆式晶圓(15〇〇〇A> 測量厚度的機器:n&k-1500 (n&k) 缺陷偵測器:Surfscan-6420 (KLA-Tencor 19 1329668Trench Isolation (STI) Solution Using Novel CMP Concepts, by Raymond R. Jin, Jeffery David, BobAbbassi, Tom Osterheld, and Fritz Redeker, CMP-MIC Conference on Feb. 11-12, 1999, pages 5 314-321 Using a blank patterned silicon wafer to reduce the sag may cause some problems. A low selectivity or non-selective slurry composition can be used to address these problems and the collapse can be minimized by any combination of systems, equipment and grinding heads used in the CMP process. ? 'Ά Wide Margin CMP and Clean Process 10 For Shallow Trench Isolation Applications, by Brad Withers, Eugen Zhoa, Rahul Jairath, CMP-MIC Conference on Feb. 19-20, 1998, pages 319-327〃 The resulting process complexity is necessary with shields, patterned resist etches, highly selective materials, and processes associated with a blank activation region 15. However, the document reported no way to resolve these issues. As noted above, the STI CMP process desperately requires a slurry composition that exhibits high polishing rate selectivity between the cerium oxide film and the cerium nitride film, less damage to the surface being polished, good cleaning properties, The 20 inhibition of the depression and its effectiveness over a wide pH range. [Disclosed] [Disclosed] [Technical Problem] 12 1329668 Accordingly, the present invention has been made in view of the above problems, and an object of the present invention is to provide a slurry composition for grinding a semiconductor element, the slurry composition The material provides a high selectivity for the removal rate of cerium oxide (Si〇2) in preference to cerium nitride (SiN), and the slurry composition 5 can be excellently used in the CMP process due to its high degree of control. The slurry composition causes less scratches after a grinding process, so that good control of the grinding quality can be achieved, the slurry composition inhibits the collapse phenomenon and is effective in a wide pH range, and the slurry The composition also has good storage stability. The above and other objects, features and other advantages of the present invention will become more apparent from Figure 2 is a cross-sectional view of the element polished by (1) general purpose CMP slurry (selectivity 3 to 4) and (2) high selectivity CMP slurry (selectivity greater than 30); The STI process uses (1) high-selective CMP slurry (selectivity greater than 30) and (2) general-purpose CMP slurry (selective 20 is 3 to 4) elemental cross-section; A cross-sectional view of the elements of the depression; Figure 5 is a cross-sectional view of an element with abrasion; and Figure 6 is a diagram showing a method of measuring the amount of depression. 13 1329668 [Embodiment] The present invention relates to a highly selective CMP slurry composition comprising a saccharide, more particularly to a metal oxide, a surfactant, a domain, a pH adjuster, Preservatives, stabilizers, and CMp slurry compositions that are free of hand water. Preferably, a slurry composition according to the present invention uses deionized water as a solvent and comprises 0.1 to 20% by weight of a metal oxide, 0.01 to 3% by weight of a surfactant, and 0.1 to 5% by weight. A saccharide, 〇〇〇10 to 5% by weight of a pH adjuster, 〇〇〇1 to 1% by weight of a preservative, and 0.00001 to 1% by weight of a stabilizer. The metal oxide used in the present invention is an abrasive which is subjected to physical polishing in the CMp process, and the metal oxide is not limited to a specific kind as long as it does not interfere with the object of the present invention. For example, materials prepared by the smoking method or the sol-gel method can be used, such as cerium oxide (si〇2), oxidized sulphide (Al2〇3), cerium oxide (Ce〇2), and dioxins. (Zr〇2), molybdenum trioxide (M〇〇3) or titanium dioxide (Ti〇2). The premise is that the main average particle size of the metal oxide is preferably 1Q to 1QQnm and the secondary average particle size is 20 to 400 nm. Preferably, the metal oxide is added in an amount of from 0.1 to 20% by weight based on the total age composition. & The surfactant used in the present invention is a dispersion-stabilizing effect and when the dispersion-stabilized side is reached, the CMp_纟 domain of the present invention can maintain a uniform polishing quality even for a long period of time. As an agent, _ surface active _, ― anionic surface activity 14 1329668 agents may include, for example, carboxylic acids and their salts, sulfates and their salts, sulfonic acids and their salts and phosphates and a salt thereof; a cationic surfactant may include, for example, a primary amine and a salt thereof, a secondary amine and a salt thereof, a tertiary amine and a salt thereof, and a quaternary amine and a salt thereof; The ionic surface active agent may include, for example, polyethylene glycols and polyhydric alcohols. Preferably, the added amount is from 0.01 to 3% by weight. As the saccharide used in the present invention, it is preferred to use galactose, arabinose, ribose, xylose, maltitol, lactose, maltose or polytriglucose (pullul an). 0.001 to 5% by weight. When the amount added is less than 0.001% by weight or more than 5% by weight, there arises a problem that the desired selectivity cannot be exhibited. In the present invention, the reason why the addition of a saccharide produces high selectivity is believed to be because the saccharide has a plurality of hydrophilic hydroxy (oxime) groups compared to other known materials, and the hydroxy groups have a SiN group. Good affinity, so 15 forms a protective layer that prevents SiN from being ground. As the pH adjuster used in the present invention, sulfuric acid, hydrochloric acid, nitric acid, acetic acid, sodium hydroxide, potassium hydroxide, aluminum hydroxide, and basic amine are preferably used. It is preferred to use 0.001 to 5% by weight in order to achieve the desired effect according to the present invention. 20 As the preservative used in the present invention, there may be mentioned tris(hydroxyindenyl) nitrodecane, hexahydro-1,3,5-tris(hydroxyethyl)-S-triazine, hexahydro- 1,3,5-triethyl-S-triazine, 1-(3-chloropropyl)-3,4,7-triazol-1-azoninadamantane gas [1-(3-^ 11〇2:〇&1171)-3,4,7-triaza-1-azoniaadamantanechloride), 4-(2-nitrogen 15 1329668 butyl)-morpholine, 4,4-(2-ethyl-2) _Nitrotrimethylene b. Dimorphine, Na-2-t- pyridine thiol-1-oxide, u-benzisothiazoline _3_ _, 5-Ga-2-methyl-4-isothiazoline 3-ketone, 2-methyl-4-isophenanthion-3-one, 5-chloro-2-phenylethyl-3-isothiazoline, [bromo-2-d-pentadecyl_3_ Isothiazoline, 4'5-dichlorooctyl_3_isoxazoline, methyl-5-chloro-2_(4丨-chlorophenylf-yl)_3_isothiazoline, hepta-5-dichloro-2 -(4'-chlorobenzyl)_3_isothiazoline, 4,5-dichloro-2_(4|_phenylphenyl)-3-isothiazoline, 4,5-dichloro-2- (2 , _methoxy_3,-chlorophenyl)-3-isothiazoline, 4,5-dibromo-2-(4, monochlorobenzyl)_3-iso-H)thiazoline, 4-methyl- 5-gas_2_(4·_hydroxyphenyl)_3_isothiazoline; '4,5-digas-2-η- Hexyl-3-isothiazoline, 5-chloro-2-(3,4,2-diphenyl)-3-isothiazoline, 6-ethoxycarbonyl-2,4-dimethyl-dioxane 2,2-dibromo-3-cyanopropylamine or iodine (Work 2). Preferably, the amount of addition is from 0.0001 to 1% by weight. When the amount added is less than 0.0001% by weight, the desired anticorrosive effect is not exhibited. When the i weight is 4%, the abrasiveness (4) is lowered and the waste liquid treatment becomes difficult. The stabilizer used in the present invention may include, for example, sodium bromate (NaBr 3 ), magnesium chloride, magnesium nitrate and copper nitrate trihydrate, and propylene glycol. Preferably, the stabilizers are added in an amount of from 〇〇〇〇〇1 to 丄% by weight. When the stable dose added is less than 0.00001% by weight, an extended stable preservation effect cannot be obtained. When the stable dose added exceeds the work weight %, the stability of the acid may be lowered. 16 1329668 In another embodiment, the present invention provides a kit for preparing a CMP sludge composition, the kit comprising a first container comprising a metal oxide, a surfactant, a pH adjuster, and Deionized water, and a second container containing a surfactant, a cool type, a pH adjuster, a preservative, a stabilizer, and deionized water. When the kit is used, the slurry composition can be prepared by mixing the contents of the first container and the second container. This is because when the first and second containers are premixed, the dispersion stability of the particles is remarkably lowered with the passage of time, so that problems such as occurrence of scratches and variations in polishing rate (PR) may be caused. Moreover, when the slurry has been prepared and used, it is highly likely to cause problems such as the scale of the production line. Thus, the first and second containers are separately stored and mixed immediately prior to use. [Embodiment of the Invention] The present invention will now be described in more detail with reference to the following examples. The examples are provided for illustrative purposes only and are not to be construed as limiting the scope and spirit of the invention. Example 20 Example 1 (1) Preparation of slurry composition 17 1329668 A mixture of 3 (8) grams containing 5% by weight of oxidized oxide and deionized water of cag was mixed and stirred to prepare a main mixture. A solution of the following composition was added to the main solution and _ to prepare a slurry composition for use. The composition of the solution is 0.45 g 〇. 91 g 0.91 g 0.03 g 0.01 g 0.23 g 897.46g galactose diglycol sulfate 5-chloro-2-methyl-4-iso-sein η sitin-ketone acid-salt (MaBr〇3 Evaluation of Grinding of Propylene Glycol Deionized Water (2) 10 (4) Estimation of the composition of the slurry is carried out according to the following methods and conditions. The results are shown in Table 1. 'On a polishing head having a film for attaching a substrate (the substrate is placed on a carrier (grinding table and polishing pad) to which an IC100/SubalV CMP pad (Rodel Inc) is attached as a polishing pad 〕, as shown in the second round, the 'semiconductor wafer having a diameter of 8 o'clock and having a dioxo film formed by the te 〇 CVD method is formed thereon, so that the sulphur dioxide film is Face down, the grinding conditions are as follows. The slurry composition was spun on a surface plate at a catch of 2 〇〇 ml/min for one minute to grind the sulphur dioxide. The wafer was then removed from the polishing head and cleaned sequentially with deionized water, diluted hydrofluoric acid and dilute aqueous ammonia, and the blisters were removed using a 18 1329668-rotary dryer. Thereafter, the thickness change before and after the grinding was measured and the polishing rate was calculated using n&k-l5〇0 (n&k). The tantalum nitride film produced by the low pressure CVD method was ground under the same conditions as in the second oxidation period, and then the thickness before and after the polishing was measured and the polishing rate was calculated. The traces on the surface of the insulating film were carefully examined with Surf (KLA-Tencor). Further, the degree of flatness is measured by grinding an STI pattern (where the wiring width is 20 0A and the width between the wirings is 1, ash) and calculating the amount of collapse 10, which is equivalent to nitriding. The difference between the upper surface height of the crucible (which is the upper portion of the wiring) and the midpoint height (where the dioxide is filled between the wirings). As shown in Fig. 6, the amount of depression is measured by using a 〇Pti-Probe 2 600 (Therma Wave Inc., an instrument for measuring the thickness of an optical film) to measure the thickness before grinding and as shown in Fig. 6 The thickness λ'Β" after the measurement is generally measured is determined by the difference between these. Grinders and Testers 研磨 Grinder: UNIPLA-211 $ UNICLEAN (Semicontech 20 Co . , Ltd .) Grinding Pad: iClOOO/SubalV Stacked (Rodel) Wafer: PE-TEOS 8 吋 Blanket Covered Wafer (15 〇〇〇A> Machine for measuring thickness: n&k-1500 (n&k) defect detector: Surfscan-6420 (KLA-Tencor 19 1329668

Corp.) 測量窪陷量的機器:Opti-Probe 2 60 0 (ThermaCorp.) Machine for measuring the amount of depression: Opti-Probe 2 60 0 (Therma

Wave Inc .) 5 研磨條件 軸心旋轉速率:70 rpm 載盤旋轉速率:24 rpm 晶圓壓力:3.5 psi 10 定位環壓力:8.0 psi 調節環壓力:4.0 psi 直接線路壓力:3.5 psi 拖架壓力:0.0 psi 拖架間隔:6.7 mm 15 於漿組成物流速:2 00 ml/minWave Inc.) 5 Grinding Condition Axis Rotation Rate: 70 rpm Carrier Rotation Rate: 24 rpm Wafer Pressure: 3.5 psi 10 Locating Ring Pressure: 8.0 psi Regulating Ring Pressure: 4.0 psi Direct Line Pressure: 3.5 psi Trailer Pressure: 0.0 psi trailer spacing: 6.7 mm 15 to slurry composition flow rate: 2 00 ml/min

溫度:25°C 實施例2 20 一淤漿組成物係照著和實施例1相同的條件與流程來 製備,除了用***糖來替代半乳糖,以作為欲加至主要 混合物的溶液内之醣類,並且進行研磨的評估。 實施例3 20 1329668 一淤漿組成物係照著和實施例1相同的條件與流程來 製備,除了用核糖來替代半乳糖,以作為欲加至主要混合 物的溶液内之醣類,並且進行研磨的評估。 5 實施例4 一淤漿組成物係照著和實施例1相同的條件與流程來 製備,除了用木糖來替代半乳糖,以作為欲加至主要混合 10 物的溶液内之醣類,並且進行研磨的評估。 實施例5 一淤漿組成物係照著和實施例1相同的條件與流程來 15 製備,除了用麥芽糖醇來替代半乳糖,以作為欲加至主要 混合物的溶液内之醣類,並且進行研磨的評估。 實施例6 20 一淤漿組成物係照著和實施例1相同的條件與流程來 製備,除了用乳糖來替代半乳糖,以作為欲加至主要混合 物的溶液内之醣類,並且進行研磨的評估。 實施例7 21 1329668 一淤漿組成物係照著和實施例i相同的條件與流程 來製備,除了用麥芽糖來替代半乳糖,以作為欲加至主要 混合物的溶液内之醋類,使帛1.29克的硫酸,並且進行 5 研磨的評估。 實施例a 一淤漿組成物係照著和實施例丄相同的條件與流程來 10製備,除了用麥芽糖來替代半乳糖,以作為欲加至主要混 合物的溶液内之醣類,使用〇.12〇重量% k〇h替代硫 酸’並且進行研磨的評估。 實施例9 15 -齡聚組錢係照著和實關的條件與流程來 製備,除了用麥芽糖來替代半乳糖,以作為欲加至主要混 合物的溶液内之酶類,使用〇·52克丄重量% κ〇Η替代硫 酸’並且進行研磨的評估。 20 實施例10 -於聚組成物储著和實施例14目同的條件與流程來 製備’除了用聚三_糖來替代半乳糖,以作為欲加至主 22 1329668 要混合物的溶液内之醣類,並且進行研磨的評估β 比較實施例1 一游漿組成物係照著和實施例1相同的條件與流程來 製備,除了用DIW (去離子水)來替代欲加至主要混合物 的溶液内之酷類,並且進行研磨的評估。 比較實施例2 10 一於聚組成物係照著和實施例1相同的條件與流程來 製備,除了用1克Darvan c (聚甲基丙烯酸胺水溶液) 來替代欲加至主要混合物的溶液内之醣類,並且進行研磨 的評估。 15 比較實施例3 一淤漿組成物係照著和實施例i相同的條件與流程來 製備,除了用HPC (經丙基纖維素)來替代欲加至主要混 20合物的溶液内之醣類,並且進行研磨的評估。 比較實施例4 一淤漿組成物係照著和實施例1相同的條件與流程來 23 1329668 製備’除了用勝許-签儿 ~ 矽來替代欲加至主要混合物 的浴液内之醣類,並且進行研磨的評估。 表1 材料 PH 添加量 S i 〇2 (g) 研磨速率 (A/min) 實施例1 半乳糖 6,0 0.45 62 71 實施例2 *** 6.0 〇 .45 6183 糖 實施例3 核糖 6.0 〇 .45 668 7 實施例4 木糖 6.0 0.45 627 7 實施例5 麥芽糖 6.0 0.45 6529 醇 實施例6 乳糖 6.0 〇 .45 5425 實施例7 麥芽糖 4 . 0 0.45 5866 實施例8 麥芽糖 7,0 〇 .45 6420 實施例9 麥芽糖 10.0 〇 .45 5231 實施例 聚三葡 6.0 0.45 5170 10 萄糖 比較實施 DIW 6.0 〇 .45 5732 例1 比較實施 Darvan 6.0 1.00 3318 例2 C 比較實施 HPC 6.0 0.45 5572 例3 比較實施 膠體二 6.0 15 8716 例4 氧化矽Temperature: 25 ° C Example 2 20 A slurry composition was prepared under the same conditions and procedures as in Example 1, except that arabinose was used instead of galactose as a sugar to be added to the solution of the main mixture. Class, and evaluation of grinding. Example 3 20 1329668 A slurry composition was prepared under the same conditions and procedures as in Example 1, except that galactose was used instead of galactose as a sugar to be added to the solution of the main mixture, and grinding was carried out. evaluation of. 5 Example 4 A slurry composition was prepared under the same conditions and procedures as in Example 1, except that xylose was replaced by xylose as a sugar to be added to the solution of the main mixed 10, and Perform an evaluation of the grinding. Example 5 A slurry composition was prepared according to the same conditions and procedures as in Example 1, except that maltitol was used instead of galactose as a sugar to be added to the solution of the main mixture, and grinding was carried out. evaluation of. Example 6 20 A slurry composition was prepared under the same conditions and procedures as in Example 1, except that lactose was used in place of galactose as a sugar to be added to the solution of the main mixture, and was ground. Evaluation. Example 7 21 1329668 A slurry composition was prepared under the same conditions and procedures as in Example i except that maltose was used instead of galactose as a vinegar to be added to the solution of the main mixture, so that 1.29 Gram of sulfuric acid and an evaluation of 5 grinding. Example a A slurry composition was prepared according to the same conditions and procedures as in Example , except that maltose was used in place of galactose as a sugar to be added to the solution of the main mixture, using 〇.12. 〇 Weight % k〇h instead of sulfuric acid' and evaluation of grinding. Example 9 A 15-year-old group of money was prepared according to the conditions and procedures of the practice, except that maltose was used instead of galactose as an enzyme to be added to the solution of the main mixture, using 〇·52 g 丄Weight % κ〇Η replaced sulfuric acid' and evaluation of grinding. 20 Example 10 - Preparation of the poly-composition and the conditions and procedures of Example 14 to prepare 'except for the use of polytri-glycan instead of galactose as a sugar to be added to the solution of the main 22 1329668 mixture Class, and evaluation of grinding β Comparative Example 1 A slurry composition was prepared under the same conditions and procedures as in Example 1, except that DIW (deionized water) was used instead of the solution to be added to the main mixture. The cool class, and the evaluation of the grinding. Comparative Example 2 10 A poly-polymer composition was prepared under the same conditions and procedures as in Example 1, except that 1 g of Darvan c (polyacrylic acid amine aqueous solution) was used instead of the solution to be added to the main mixture. Sugar, and evaluation of grinding. 15 Comparative Example 3 A slurry composition was prepared under the same conditions and procedures as in Example i except that HPC (propylcellulose) was used instead of the sugar to be added to the solution of the main mixed compound. Class, and evaluation of grinding. Comparative Example 4 A slurry composition was prepared according to the same conditions and procedures as in Example 1 23 1329668, except that the sugar to be added to the bath of the main mixture was replaced by a win-snap-natch, and Perform an evaluation of the grinding. Table 1 Material PH Addition amount S i 〇 2 (g) Grinding rate (A/min) Example 1 Galactose 6,0 0.45 62 71 Example 2 Arab 6.0 〇.45 6183 Sugar Example 3 Ribose 6.0 〇.45 668 7 Example 4 Xylose 6.0 0.45 627 7 Example 5 Maltose 6.0 0.45 6529 Alcohol Example 6 Lactose 6.0 〇.45 5425 Example 7 Maltose 4. 0 0.45 5866 Example 8 Maltose 7,0 〇.45 6420 Example 9 Maltose 10.0 〇.45 5231 Example Polytritas 6.0 0.45 5170 10 Glucose Comparison Implementation DIW 6.0 〇.45 5732 Example 1 Comparative Implementation Darvan 6.0 1.00 3318 Example 2 C Comparative Implementation HPC 6.0 0.45 5572 Example 3 Comparative Implementation Colloid II 6.0 15 8716 Example 4 Cerium oxide

SiN 研磨速率 (A/min) 選擇性 刮痕 (EA, 0.2-5 Um) 窪陷 量 (A) 起泡 71 88.9 15 80 無 79 78.3 27 50 無 133 50.4 23 110 無 86 72.6 35 90 無 64 102.3 51 60 無 180 30.2 44 110 無 106 55.1 26 7 0 無 124 51.7 21 120 無 84 62.3 18 90 無 37 139.7 36 70 無 1541 3.7 31 900 無 88 37.7 72 250 無 109 51.0 53 300 有 1234 7.1 203 740 無 24 1329668 由測定膜厚度所顯示的結果可看出在整片晶圓上由 TEOS電漿CVD方法製造的二氧化矽膜與低壓CVD方法製 造的氮化矽具有均一厚度。 5 由表1可看出,當添加醣類時,該供研磨用之淤漿組 成物係具高選擇性,刮痕減少了且窪陷量顯著地降低。而 且在研磨後,晶圓表面上沒有泡泡產生。 實施例11 10 (1)淤漿組成物之製備 將一含有5重量%氧化鈽的300克懸浮液和900克的 去離子水混合之後攪拌以製備主要混合物。在主要混合物 15 内加入900克具有下列組成的溶液並且攪拌以製備一淤漿 組成物。 溶液組成 麥芽糖 0.45 g 二甘醇 0.91 g 硫酸 0.91 g 1,2-苯并異噻唑啉-3-酮(BI) 0.03 g 氯化鎂(MC) 0.01 g 丙二醇 0.23 g 去離子水 897 . 4 6g 25 1329668 (2)研磨的評估 使該淤漿組成物在室溫下擺放6個月,研磨評估係照 5 著和實施例1相同的條件與流程來進行,結果展示於表2。 將2毫升淤漿均勻地塗在培養試紙上且使其在30°C 的溫度下擺放在乾燥中3天,然後將所產生的反應點數 目當作細菌數。 10 實施例12 一淤漿組成物係照著和實施例11相同的條件與流程來 製備,除了在欲加至主要混合物的溶液中用5-氣-2-曱基 -4-異噻唑啉-3-酮(CMI)替代BI作為防腐劑以及用硝酸 15 鎂(MN)替代MC作為穩定劑,並且進行研磨的評估。 實施例13 一淤漿組成物係照著和實施例11相同的條件與流程 20 來製備,除了在欲加至主要混合物的溶液中用2-曱基-4-異噻唑啉-3-酮(MI)替代BI作為防腐劑以及用硝酸銅三 水合物(CNT)替代MC作為穩定劑,並且進行研磨的評估。 比較實施例5 26 1329668 一淤漿組成物係照著和實施例11相同的條件與流程 來製備,除了在欲加至主要混合物的溶液中用過氧化氫 (HP)替代BI作為防腐劑且不使用穩定劑,並且進行研磨 5 的評估。 比較實施例6 一淤漿組成物係照著和實施例11相同的條件與流程 10 來製備,除了在欲加至主要混合物的溶液中用四曱基氯化 銨(TMAC1)替代BI作為防腐劑且不使用穩定劑,並且進 行研磨的評估。 比較實施例7 一淤漿組成物係照著和實施例11相同的條件與流程 來製備,除了在欲加至主要混合物的溶液中不使用穩定劑 MC且不使用穩定劑,並且進行研磨的評估。 防腐劑 穩定劑 PH Si02研磨速率 刮痕 細菌數 (A/min) (EA) (EA) 實施例 11 BI MC 6.0 6210 0 0 實施例 12 CMI MN 6.0 6001 0 0 實施例 13 MI CNT 6.0 6155 0 0 比較實施例5 HP X 6.0 6322 15 220 27 比較實施例6 TMAC1 x 6.0 比較實施例7 BI X 6.0 6248 3922 6 53 11 64 由以上表2可看出,當使用該玫置6個月後的於聚組 成物來研磨晶圓時,不含有本發明例示之防腐劑或穩定劑 的於聚組成物相較於含有本發明例示之防腐劑或穩定劑的 5於漿組成物在研磨Si〇2速度上所示差異不大,但出現增多 的到痕》甚至在比較細菌數時,可確定含有根據本發明之 防腐劑或穩定劑的齡漿組成物係維持著非常穩定的狀態。 [產業利用性] 10 在STI CMP製程中,本發明之CMP於漿組成物係可 有效於從半導體元件表面上優先於氛化石夕而選擇性地移除 一氧化夕而且,該齡漿組成物在研磨後造成較少的刮 痕’於疋維持研磨高品質並且抑制窪陷係為可能的,該齡 聚組成物係於-廣大的pH範圍内皆有效且展現絕佳的貯 15 存穩定性。 雖然本發明之較佳具體例已為了例示目的被揭示,但 該等熟$此藝者將可瞭解到在不逸離揭示於隨附的申請 專利範圍中之本發明範嘴與精神下,各種更動、添加及置 換係為可能的。 【圖式間單說明】 第圖係化學機械研磨裝置的示意圖; 第2圖係一以,ηή 从(1) 一般用途的CMP淤漿(選擇性為3至4> 28 1329668 與(2)高選擇性CMP淤漿(選擇性大於3〇〉研磨之元素截面 圖; 第3圖係在一 STI製程中使用(1)高選擇性CMP淤漿 (選擇性大於30)與(2) —般用途的CMP淤漿(選擇性為3至 5 4)之元素截面圖; 第4圖係一具有窪陷的元素之截面圖; 第5圖係一具有磨勉的元素之截面圖;以及 第6圖係圖解展示一測量窪陷量的方法。 10【主要元件符號說明】 29SiN grinding rate (A/min) Selective scratch (EA, 0.2-5 Um) Defect amount (A) Foaming 71 88.9 15 80 No 79 78.3 27 50 No 133 50.4 23 110 No 86 72.6 35 90 No 64 102.3 51 60 without 180 30.2 44 110 without 106 55.1 26 7 0 without 124 51.7 21 120 without 84 62.3 18 90 without 37 139.7 36 70 without 1541 3.7 31 900 without 88 37.7 72 250 without 109 51.0 53 300 with 1234 7.1 203 740 without 24 1329668 It can be seen from the results of measuring the film thickness that the tantalum dioxide film produced by the TEOS plasma CVD method on the entire wafer has a uniform thickness of tantalum nitride produced by the low pressure CVD method. 5 As can be seen from Table 1, when the saccharide is added, the slurry composition for grinding has high selectivity, the scratches are reduced, and the amount of eccentricity is remarkably lowered. And after grinding, no bubbles are generated on the surface of the wafer. Example 11 10 (1) Preparation of slurry composition A 300 g suspension containing 5% by weight of cerium oxide and 900 g of deionized water were mixed and stirred to prepare a main mixture. 900 g of a solution having the following composition was added to the main mixture 15 and stirred to prepare a slurry composition. Solution composition maltose 0.45 g diethylene glycol 0.91 g sulfuric acid 0.91 g 1,2-benzisothiazolin-3-one (BI) 0.03 g magnesium chloride (MC) 0.01 g propylene glycol 0.23 g deionized water 897 . 4 6g 25 1329668 ( 2) Evaluation of grinding The slurry composition was allowed to stand at room temperature for 6 months, and the evaluation of the grinding was carried out under the same conditions and procedures as in Example 1. The results are shown in Table 2. 2 ml of the slurry was uniformly applied to the culture test paper and allowed to stand at a temperature of 30 ° C for 3 days, and then the number of reaction points produced was regarded as the number of bacteria. 10 Example 12 A slurry composition was prepared under the same conditions and procedures as in Example 11, except that 5-gas-2-mercapto-4-isothiazoline was used in the solution to be added to the main mixture. 3-ketone (CMI) replaced BI as a preservative and replaced MC with magnesium nitrate (MN) as a stabilizer and evaluated the grinding. Example 13 A slurry composition was prepared under the same conditions as in Example 11 and Scheme 20 except that 2-mercapto-4-isothiazolin-3-one was used in the solution to be added to the main mixture ( MI) replaces BI as a preservative and replaces MC with copper nitrate trihydrate (CNT) as a stabilizer and performs an evaluation of the grinding. Comparative Example 5 26 1329668 A slurry composition was prepared following the same conditions and procedures as in Example 11, except that hydrogen peroxide (HP) was used instead of BI as a preservative in the solution to be added to the main mixture. Stabilizers were used and an evaluation of Grinding 5 was performed. Comparative Example 6 A slurry composition was prepared under the same conditions as in Example 11 and in Scheme 10 except that tetramethylammonium chloride (TMAC1) was used in place of BI as a preservative in the solution to be added to the main mixture. And no stabilizer was used and the evaluation of the grinding was carried out. Comparative Example 7 A slurry composition was prepared under the same conditions and procedures as in Example 11 except that the stabilizer MC was not used in the solution to be added to the main mixture and no stabilizer was used, and the evaluation of the grinding was carried out. . Preservative Stabilizer PH Si02 Grinding Rate Scratch Bacterial Number (A/min) (EA) (EA) Example 11 BI MC 6.0 6210 0 0 Example 12 CMI MN 6.0 6001 0 0 Example 13 MI CNT 6.0 6155 0 0 Comparative Example 5 HP X 6.0 6322 15 220 27 Comparative Example 6 TMAC1 x 6.0 Comparative Example 7 BI X 6.0 6248 3922 6 53 11 64 As can be seen from Table 2 above, when the rose was used for 6 months When the composition is agglomerated to grind a wafer, the poly-composition composition containing the preservative or stabilizer exemplified in the present invention is at a speed of grinding Si〇2 compared to the slurry composition containing the preservative or stabilizer exemplified in the present invention. The difference shown above is not large, but there is an increase in the number of bacteria. Even when the number of bacteria is compared, it can be confirmed that the age-containing composition containing the preservative or the stabilizer according to the present invention maintains a very stable state. [Industrial Applicability] 10 In the STI CMP process, the CMP-based slurry composition of the present invention can be effectively removed from the surface of the semiconductor element preferentially over the surface of the semiconductor element, and the slurry composition of the age is selected. It causes less scratches after grinding. It is possible to maintain high quality of the grinding and to suppress the collapse system. The ageing composition is effective in a wide pH range and exhibits excellent storage stability. . Although the preferred embodiment of the present invention has been disclosed for the purpose of illustration, it will be understood by those skilled in the art that Alterations, additions, and replacements are possible. [Illustration of the drawings] Figure 1 is a schematic diagram of a chemical mechanical polishing device; Figure 2 is a comparison of η ή from (1) general purpose CMP slurry (selectivity 3 to 4 > 28 1329668 and (2) high Selective CMP slurry (selective greater than 3〇) polished elemental cross-section; Figure 3 is used in an STI process (1) high selectivity CMP slurry (selectivity greater than 30) and (2) general use Sectional view of the element of the CMP slurry (selectively 3 to 5 4); Figure 4 is a cross-sectional view of a depressed element; Figure 5 is a cross-sectional view of a honed element; and Figure 6 The diagram shows a method for measuring the amount of depression. 10 [Key symbol description] 29

Claims (1)

13296681329668 十、申請專利範圍: 1. 一種CMP淤漿組成物,其包含0.1至20重量%的金屬氧 化物、0.01至3重量%的表面活性劑、0.001至5重量%的醣 類、0.001至5重量%的pH調節劑、0.0001至1重量%的防 5 腐劑以及0.00001至1重量%的穩定劑,其中去離子水係用 作為溶劑。 2. 如申請專利範圍第1項之組成物,其中該金屬氧化物係 選自於由下列所構成的群組:二氧化矽(Si02)、氧化鋁 (Al2〇3)、氧化铈(Ce02)、二氧化鍅(Zr02)、三氧化鉬 10 (Mo03)以及二氧化鈦(Ti02),該等係由發煙法或溶膠-凝 膠法所製備。 3. 如申請專利範圍第1項之組成物,其中該表面活性劑係 為一陰離子表面活性劑,其係選自於由下列所構成的群 組:羧酸及其鹽類、硫酸酯及其鹽類、磺酸及其鹽類與磷 15 酸酯及其鹽類;一陽離子表面活性劑,其係選自於由下列 所構成的群組:一級胺及其鹽類、二級胺及其鹽類、三級 胺及其鹽類與四級胺及其鹽類;或是一非離子性表面活性 劑,其係選自於由聚乙二醇類與多羥基醇類之表面活性劑 所構成的群組。 20 4.如申請專利範圍第1項之組成物,其中 該醣類係為至少一選自於由下列所構成的群組者:半乳 糖,***糖,核糖,木糖,麥芽糖醇,乳糖,麥芽糖以 及聚三葡萄糖(pullulan); 該pH調節劑係為至少一選自於由下列所構成的群組 30 1329668 者:硫酸、鹽酸、頌酸、醋酸、氫氧化納、氫氧化鉀、氯 氧化銘以及驗式胺(basic amine); 該防腐劑係為至少一選自於由下列所構成的群組者: 三(羥甲基)硝基甲烧、六氫-1,3,5-三(羥乙基卜3_三 5 嗉、六氫_1'3,5_三乙基三嗪、1-(3-氯稀丙 基)-3,4,7-三氮-1-偶氮寧金剛烷氣〔ι_ (3_ chloroallyl)-3,4f7-triaza-l-azoniaadamanta -nechloride)、4 - (2_硝基丁基)_嗎啉、4, 4_ (2_ 乙基 -2-硝基三亞曱基)-二嗎啉、鈉-2-吡啶硫醇-i —氧化物、 10 1'2-苯并異噻唑啉-3-酮、5-氯-2-曱基Μ-異嘍唑琳_3一 酮、2 -曱基Μ-異噻唑啉-3-酮、5_氣-2-苯乙基-3-異》塞 唑啉、4-溴-2-11-十二烷基-3-異噻唑啉、4,5-二氣-2-]1-辛基-3-異°塞唾琳、4 -曱基-5-氣-2-(4,_氯苯甲基)-3_ 異噻唑啉4,5-二氣-2-(4,-氯苯甲基)-3-異噻唑啉、 15 4,5-二氯氣苯基)-3-異噻唑啉、4,5-二氯 _2_(2’-曱氧基-3’-氯苯基)-3-異噻唑啉、4,5-二溴 -2-(4'-氣苯甲基)-3-異噻唑啉、4 -曱基-5-氣-2-(4,-羥笨基)-3-異噻唑啉、4,5-二氣-2-n-己基-3-異噻唑 琳、5-氣-2-(3',4’ -二氣苯基)-3-異嚷唑啉、6-乙醯氧 20 基二曱基-二0号烷)、2,2-二溴-3-腈基丙醯胺以及 碘(12);且 該穩定劑係為至少一選自於由下列所構成的群組者:溴 酸納(NaBrCh)、氣化鎂、硝酸鎂與硝酸銅三水合物以及丙 二醇。 31 1329668 5. —種用來製備CMP淤漿組成物之套組,該套組係包含一 第一容器,其含有金屬氧化物、表面活性劑、pH調節劑與 去離子水,以及一第二容器,其含有表面活性劑、醣類、 pH調節劑、防腐劑、穩定劑與去離子水。 32X. Patent Application Range: 1. A CMP slurry composition comprising 0.1 to 20% by weight of metal oxide, 0.01 to 3% by weight of a surfactant, 0.001 to 5% by weight of a saccharide, 0.001 to 5 by weight % pH adjuster, 0.0001 to 1% by weight of anti-corrosion agent and 0.00001 to 1% by weight of stabilizer, wherein deionized water is used as a solvent. 2. The composition of claim 1, wherein the metal oxide is selected from the group consisting of cerium oxide (SiO 2 ), aluminum oxide (Al 2 〇 3 ), and cerium oxide (Ce02). , cerium oxide (ZrO 2 ), molybdenum trioxide 10 (Mo03), and titanium dioxide (Ti02), which are prepared by a smoking method or a sol-gel method. 3. The composition of claim 1, wherein the surfactant is an anionic surfactant selected from the group consisting of carboxylic acids and salts thereof, sulfates and a salt, a sulfonic acid and a salt thereof, and a phosphorus 15 acid ester and a salt thereof; a cationic surfactant selected from the group consisting of a primary amine and a salt thereof, a secondary amine and a salt, a tertiary amine and a salt thereof and a quaternary amine and a salt thereof; or a nonionic surfactant selected from the group consisting of a surfactant of a polyethylene glycol and a polyhydric alcohol The group that is formed. 20. The composition of claim 1, wherein the saccharide is at least one selected from the group consisting of galactose, arabinose, ribose, xylose, maltitol, lactose, Maltose and polytriglucose; the pH adjuster is at least one selected from the group consisting of: 1 1329668: sulfuric acid, hydrochloric acid, citric acid, acetic acid, sodium hydroxide, potassium hydroxide, chlorine oxidation And a basic amine; the preservative is at least one selected from the group consisting of: tris(hydroxymethyl)nitrocarb, hexahydro-1,3,5-three (hydroxyethyl b 3_3 5 嗉, hexahydro_1'3,5_triethyltriazine, 1-(3-chloropropyl)-3,4,7-triaza-1-azo Isomantane gas [ι_ (3_ chloroallyl)-3,4f7-triaza-l-azoniaadamanta-nechloride), 4-(2-nitrobutyl)-morpholine, 4, 4_ (2_ethyl-2-nitro) Triammonium)-dimorpholine, sodium-2-pyridinethiol-i-oxide, 10 1 '2-benzisothiazolin-3-one, 5-chloro-2-indolyl-isoxazole Lin _3-ketone, 2-mercaptopurine-isothiazolin-3-one, 5-nitro-2-phenylethyl-3-iso Serozoline, 4-bromo-2-11-dodecyl-3-isothiazoline, 4,5-dioxa-2-]1-octyl-3-iso-salt, 4-mercapto -5-Gas-2-(4,-chlorobenzyl)-3_isothiazoline 4,5-dioxa-2-(4,-chlorobenzyl)-3-isothiazoline, 15 4,5 -dichlorophenyl)-3-isothiazoline, 4,5-dichloro-2-(2'-decyloxy-3'-chlorophenyl)-3-isothiazoline, 4,5-dibromo- 2-(4'-gasbenzyl)-3-isothiazoline, 4-mercapto-5-gas-2-(4,-hydroxyphenyl)-3-isothiazoline, 4,5-diox -2-n-hexyl-3-isothiazolidine, 5-aero-2-(3',4'-di-phenylphenyl)-3-isoxazoline, 6-acetoxime 20-yldidecyl- Alkane 2), 2,2-dibromo-3-cyanopropionamide, and iodine (12); and the stabilizer is at least one selected from the group consisting of: sodium bromate ( NaBrCh), magnesium sulfide, magnesium nitrate and copper nitrate trihydrate, and propylene glycol. 31 1329668 5. A kit for preparing a CMP slurry composition, the kit comprising a first container comprising a metal oxide, a surfactant, a pH adjuster and deionized water, and a second A container containing a surfactant, a saccharide, a pH adjuster, a preservative, a stabilizer, and deionized water. 32
TW093128562A 2004-06-25 2004-09-21 High selectivity cmp slurry composition for sti process in semiconductor manufacture TWI329668B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040048390A KR100637772B1 (en) 2004-06-25 2004-06-25 High Selectivity CMP slurry for STI Process in Semiconductor manufacture

Publications (2)

Publication Number Publication Date
TW200600569A TW200600569A (en) 2006-01-01
TWI329668B true TWI329668B (en) 2010-09-01

Family

ID=35781949

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093128562A TWI329668B (en) 2004-06-25 2004-09-21 High selectivity cmp slurry composition for sti process in semiconductor manufacture

Country Status (3)

Country Link
KR (1) KR100637772B1 (en)
TW (1) TWI329668B (en)
WO (1) WO2006001558A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811389B (en) * 2018-06-29 2023-08-11 美商慧盛材料美國責任有限公司 Low oxide trench dishing chemical mechanical polishing

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731112B1 (en) * 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 Cmp slurry for removing photoresist
KR100814416B1 (en) 2006-09-28 2008-03-18 삼성전자주식회사 High planarity slurry composition and method of chemical mechanical polishing using the same
KR100829594B1 (en) 2006-10-10 2008-05-14 삼성전자주식회사 Slurry composition for chemical mechanical polishing and method of manufacturing a semiconductor memory device using the same
KR100930171B1 (en) 2006-12-05 2009-12-07 삼성전기주식회사 White light emitting device and white light source module using same
KR101481573B1 (en) 2008-02-12 2015-01-14 삼성전자주식회사 Slurry composition for chemical mechanical polishing and process of chemical mechanical polishing
KR101094662B1 (en) * 2008-07-24 2011-12-20 솔브레인 주식회사 Chemical mechanical polishing composition including a stopping agent of poly-silicon polishing
EP2614122A4 (en) * 2010-09-08 2014-01-15 Basf Se Aqueous polishing compositions containing n-substituted diazenium dioxides and/or n'-hydroxy-diazenium oxide salts
CN103097476B (en) * 2010-09-08 2016-02-17 巴斯夫欧洲公司 Chemically machinery polished is used for moisture polishing composition and the method for the substrate of electronics, machinery and optics
CN102952466A (en) * 2011-08-24 2013-03-06 安集微电子(上海)有限公司 Chemical-mechanical polishing liquid
JP6375623B2 (en) * 2014-01-07 2018-08-22 日立化成株式会社 Abrasive, abrasive set, and substrate polishing method
JP2017508833A (en) * 2014-01-31 2017-03-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se Chemical mechanical polishing (CMP) composition comprising poly (amino acid)
JP7045171B2 (en) * 2017-11-28 2022-03-31 花王株式会社 Abrasive liquid composition
KR102543679B1 (en) * 2017-11-30 2023-06-16 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same
US11072726B2 (en) 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11549034B2 (en) * 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
US11180678B2 (en) 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11326076B2 (en) * 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
CN114929822A (en) * 2019-12-04 2022-08-19 弗萨姆材料美国有限责任公司 High oxide film removal rate Shallow Trench Isolation (STI) Chemical Mechanical Planarization (CMP) polishing
JP2023527423A (en) * 2020-05-29 2023-06-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Low dishing oxide CMP polishing composition for shallow trench isolation applications and method of making same
KR20240062240A (en) * 2022-10-28 2024-05-09 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000109802A (en) * 1998-10-08 2000-04-18 Hitachi Chem Co Ltd Polishing agent for cmp and polishing of substrate
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
WO2003094216A1 (en) * 2002-04-30 2003-11-13 Hitachi Chemical Co., Ltd. Polishing fluid and polishing method
TWI307712B (en) * 2002-08-28 2009-03-21 Kao Corp Polishing composition
US20040065021A1 (en) * 2002-10-04 2004-04-08 Yasuhiro Yoneda Polishing composition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811389B (en) * 2018-06-29 2023-08-11 美商慧盛材料美國責任有限公司 Low oxide trench dishing chemical mechanical polishing

Also Published As

Publication number Publication date
KR20050122754A (en) 2005-12-29
WO2006001558A1 (en) 2006-01-05
TW200600569A (en) 2006-01-01
KR100637772B1 (en) 2006-10-23

Similar Documents

Publication Publication Date Title
TWI329668B (en) High selectivity cmp slurry composition for sti process in semiconductor manufacture
US6527818B2 (en) Aqueous dispersion for chemical mechanical polishing
JP6581198B2 (en) Composite abrasive particles for chemical mechanical planarization compositions and methods of use thereof
EP3055376B1 (en) Mixed abrasive polishing compositions
KR101069472B1 (en) Method for chemical mechanical planarization of chalcogenide materials
US8791019B2 (en) Metal polishing slurry and method of polishing a film to be polished
TWI244496B (en) Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
US20070037892A1 (en) Aqueous slurry containing metallate-modified silica particles
JP6246263B2 (en) Method for polishing a substrate comprising at least one of silicon oxide and silicon nitride and polysilicon
JP5957777B2 (en) Method for polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
EP3584298B1 (en) Polishing method using a polishing composition
JP2013074036A (en) Slurry for cmp and method for manufacturing semiconductor device
JP4863524B2 (en) Chemical mechanical polishing slurry composition for polishing polycrystalline silicon film and method for producing the same
TWI798345B (en) Polishing composition
JP7121696B2 (en) Low oxide trench dishing chemical mechanical polishing
JP5516594B2 (en) CMP polishing liquid, and polishing method and semiconductor substrate manufacturing method using the same
JP5957778B2 (en) Method for polishing a substrate comprising at least one of silicon oxide and silicon nitride and polysilicon
US8512593B2 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
JP5101625B2 (en) Chemical mechanical polishing slurry composition, method for producing the same, and method for using the same
JP2002080827A (en) Aqueous dispersion for chemical and mechanical polishing
JP2003213249A (en) Silica particle for polishing and polishing material
TW202112990A (en) With-in die non-uniformities (wid-nu) in planarization
IL272584B2 (en) Shallow Trench Isolation Chemical and Mechanical Polishing Slurry
TW202319494A (en) Chemical mechanical planarization polishing for shallow trench isolation
KR100445499B1 (en) CMP slurry for polishing oxide film of semiconductor device