TWI278528B - Reduced maintenance chemical oxide removal (COR) processing system - Google Patents

Reduced maintenance chemical oxide removal (COR) processing system Download PDF

Info

Publication number
TWI278528B
TWI278528B TW93138909A TW93138909A TWI278528B TW I278528 B TWI278528 B TW I278528B TW 93138909 A TW93138909 A TW 93138909A TW 93138909 A TW93138909 A TW 93138909A TW I278528 B TWI278528 B TW I278528B
Authority
TW
Taiwan
Prior art keywords
substrate
barrier layer
protective barrier
processing system
heat treatment
Prior art date
Application number
TW93138909A
Other languages
Chinese (zh)
Other versions
TW200535272A (en
Inventor
Arthur H Laflamme Jr
Thomas Hamelin
Jay R Wallace
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/736,991 external-priority patent/US20040182315A1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200535272A publication Critical patent/TW200535272A/en
Application granted granted Critical
Publication of TWI278528B publication Critical patent/TWI278528B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.

Description

1278528 九、發明說明: ^申賴係細τ各專辦請帛細 之全部内容均併入本案,以供參考。 -々關寻才』甲明案 ϊϊϊί 提出中請,標題為「用於處理基板的處理系 案編號第071469/0301073號); 观W里人才田 年方3、ί 中請,標題為「用於化學處理基板的處 ί ϊ ί中針之美國專利申請案第刪54,642號(代理 人^案編號弟071469/0301087號); 出申請,標題為「用於熱處理基板的處理 ϋ、方法」的中#中之美國專利中請案第_ 檔案編號第071469/0301088號);及 4代里人 月II日翻申請’標題為「用於熱隔絕鄰近溫度控 ^至的方法與設備」的申請中之美國專利申請案第_54,644號 (代理人擒案編號第071469/0292055號)。 & 一、 【發明所屬之技術領域】 本發明是錢於-麵於處理基板的系統與方法 有關於一種保護化學與熱處理室的方法。 、 二、 【先前技術】 在半導體處理_,可_乾賴_製程來移除或 順者圖案於雜板上的細線、通勒或槪處的材 通常包括將具有極度圖案化之保護層、例如^層^ ¥體基板定位在處理室中。一旦將基板定位該室内後,以一預 流速將一可解離、可分離的氣體混合物導入該室内,同直介 幫浦調節成達到-環境處理壓力。之後,當在場之— 廣、ς 粒子因透過電感或電容而來之無線電頻率(RF)功率、或使用電 迴旋共振(ECR)而來之微波神_鑛加熱的電子轉離時,則 6 1278528 漿並ίί產子是用來分離出環境氣體麵中的某 一整=;著,=====調 料、:m3:"基板娜包含有二氧财、低k介電材 内所3這f的!?徵通常包含步驟有將遮罩層 來^兮設置著各麟徵的1Γ謂處。舉例 諸如(正或負)光阻的一光敏材料、包含著如 之-笛」’與—抗反射塗佈層(ARC),或藉著將諸如光阻 層。第b内的-圖案轉移至下方硬遮罩層所形成的一硬遮罩 三、 【發明内容】 理室ίίϋ是有種系統_來保護—化學處理室及/或熱處 料去態=述著用來執行位在-基板上之材 1糸、、先,其包含有—第—處理室與—第二處理室, 2料-與弟m賊連接至另—者的 2二匕;處理ί:與至少該化學處理室之-組成上所設置二 ’: 早㈢、。忒第一處理室包含有一熱處理室,與至少該熱處理 至之一組成上所設置的一保護屏障層。 四、 【實施方式】 在,料處理方法中,為了設置用來在侧_將此圖案轉移 ^方薄膜的—遮罩,故圖鏡刻法包含步驟有將諸如光阻之光 層施加在接著欲_化的-基板之上表面處。而光敏材 料之圖案化通常包含步驟有使用如微影系統來讓光敏材料曝光在 1278528 絲耕腕聽下,接_顯影溶劑來 移^避(如正光阻的情況般)或非照射區(如負光阻的 為了蝕刻特徵而設置複層與硬遮罩。例如,在使用 驟時,是使用主侧步驟前之-獨立= 的遮罩圖案轉移至硬鮮層。而硬遮罩係可選自 八甲β兩步驟製程例如包括著為了改變硬遮罩層之 二品硬遮罩層曝露表面之化學處理、與為了釋放出所改變 之表面化:的硬遮罩層曝露表面之熱處理。 祕- ΐϊ明出本發明一實施例的處理系統之示意圖。在如圖1 明貫施例巾,齡出例如使用遮罩層修整來處理基板的 糸,1:該處理系統1可包含有—第一處理系統10,與連 , 地理系統10的一第二處理系統20。舉例來說,第一處理 糸統10可产含有-熱處理系統,而第二處理系統2G可包含有一 化,處理系統。同時,如圖!所示,為了將基板傳送進出第一處 理糸統10與第二處理系、统2〇、並與複件製造系統*交換基板, 故可,-傳送系統30連接至第—處理系統1〇。 第一與第處理系統1〇、2〇與傳送系統3〇可例如包含有連 接至複件統40的-處理元件。而複件製造祕4G可容許 將?板傳送,包含著如侧系統、沉積系統、塗佈系統、圖案 化系,、手1系統等等這般裝置的處理元件。為了隔離發生在第 一與第二系統中的製程,故可將一隔離組件50連接至每一系統。 ,例來說,_該隔離組件50可包含有提供熱隔離的一熱絕緣組件與 提,真空隔離的一閘門組件其中至少一者。在替換實施例中,處 理系統10、20與傳送系統3〇係可放置成任意順序。 此外,可將一控制器60連接至第一處理系統1〇、第二處理系 1278528 理系統ίο、第二\:系:::傳來控制第一處 建構成不同狀態。可J統20與傳送系統30 通常,如圖1所述^處理佈置f並肩佈置。 理系統20至少且中一者句a/、;V的第一處理系、统1〇與第二處 個傳送開口,第一傳送開口容統10包含有兩 統30間的通行,而第二傳送^與傳送系 與第二處理系統20間的通行。又^,第處理系統⑴ 傳送開Π,讀容許基板經此的通行f Μ可包含有至少一個 在說=ί=用一 示意圖。 至熱處理__-化學 含有可加以溫控的一“ 可包 門組件2%來與另一者真L邑緣if亦可使用一問 圖3是顯示出本發明—實施例的化學處 圖。如圖2與3所示,化學處理系統22〇可 ‘= ,理_ =實質熱絕緣、且_支撐— 烯(ptFE)及/或四氟乙烯(TFE)所製成。同時^ 有n支^^情㈣—個^之倾 。 則%2障層241係可藉由將一金屬施以陽極 處理並_ PTFE及/或TFE浸潰經陽極處理 丨^ 例來說…保護屏障層係可由硬質陽極處她或 理^ 1278528 與=用TFE及/或PTFE浸潰經硬質陽極處理之表面而形成。 在替換實施例中,則是不需要保護屏障層241的。 #在本發明的替換實施例中,保護屏障層241可包含有Al2〇3、 =紀(Y2〇3)、Sc203、Sc2F3、YF3、La2〇3、Ce〇2、Eu2〇3 與 Dy〇3 ^ ^少一ί。在本發明的附加實施例中,保護屏障層222可包 3有第二列元素(卿表的第三列)與瓣、元素其中至少-者。在本 ^明另-實施射,第三列元素可包含有妃、銃與鑭其中至少一 在本發明另-貫施例巾,嶋元素可包含有_、鏑與銷其中 至少一者。 在本發明-實施例中,保鶴_ 241可具有—最小厚度, 二中可,該最*厚度指定成橫勒部表面其巾至少—者的常數。 ^另一實施例中,最小厚度可為橫越内部表面的變數。又,最小 i度為超過表面之第—部分的常數與超過表面之第二部分的變 ^。舉例來說’可變厚度可岐在—曲面上、—角落上或一孔洞 =:舉例,說’最小厚度可落在從約0.5微米至約5〇〇微米的範圍 + θ又,隶小厚度可落在從約100微米至約200微米的範圍内; 或瑕小厚度可為至少約120微米。 詈的支座240可包含有基板支座240之頂表面上所設 芦P早層245°而保護屏障層245射由選自如保護屏障 述般之相冊料範圍的—材料所製成的,且其可具有如 ] P羊層241所述般的相同厚度。又,可不需要保護屏障声245。 同時,化學處理系統220可更包含有連接至化學 理室221内之壓力的—衫幫浦系統挪 I,-處理氣體導入至化學處理室221内之處理空間2泣之 乳體为配糸統的一上組件260。 此外,化學處理室221可包含有化學處理室221之一個以上 所設置的一保護屏障層222。而保護屏障層222係可 且』二Ξ障層241所述般之相同材料範圍的—材料所製成的, 八可/、有如保護屏障層241所述般的相同厚度。又,可不需要 1278528 保護屏障層222。 此外,一保護屏障層261係可設置在上組件26〇的一個以上 之内表面上。而保護屏障層261係可由選自如保護屏障層241所 ,般之相同材料範圍的—材料所製成的,且其可具有如保護 b 241所述般的相同厚度。又,可不需要保護屏障層261。 本發明可能包含有一複數步驟的製程,而該複數步驟製程可 ^含有例如準備-個以上表面以便接收保護屏障層、然後在那些 表面上形成保護屏障層。 一1278528 IX. Description of the invention: ^All the contents of the special application of Shen Lai Department are incorporated into the case for reference. - 々关寻才』甲明案ϊϊϊί In the middle of the request, the title is "Processing System No. 071469/0301073 for processing substrates"; View W. Talent Tiannian 3, ί 中,, titled "Use In the case of a chemically treated substrate, US Patent Application No. 54,642 (Attorney Docket No. 071469/0301087); and the application titled "Processing Methods and Methods for Heat Treating Substrates" In the US Patent No. _ File No. 071469/0301088), and the application for the title of "Methods and Equipment for Thermally Insulating Adjacent Temperature Controls" U.S. Patent Application Serial No. _54,644 (Attorney Docket No. 071469/0292055). & I. [Technical Field of the Invention] The present invention relates to a system and method for treating a substrate. A method for protecting a chemical and a heat treatment chamber. Second, [prior art] In the semiconductor processing, the process of removing or smoothing the thin lines, the leads or the turns of the pattern on the miscellaneous board usually includes a protective layer having an extremely patterned pattern, For example, the layer of the substrate is positioned in the processing chamber. Once the substrate is positioned within the chamber, a detachable, separable gas mixture is introduced into the chamber at a pre-flow rate, and the direct-media pump is adjusted to achieve an ambient treatment pressure. Then, when the presence of the 广, ς particles due to the radio frequency (RF) power transmitted through the inductor or capacitor, or the use of electric cyclotron resonance (ECR) from the microwave god _ mine heated electrons, then 6 1278528 pulp and ίί子子 is used to separate out a certain whole of the surface of the ambient gas;;, ===== seasoning,: m3:" substrate Na contains two oxygen, low-k dielectric materials This f's sign usually includes steps to set the mask layer to set the 1st point of each lining. For example, a photosensitive material such as a (positive or negative) photoresist, including a whistle and an anti-reflective coating layer (ARC), or by a layer such as a photoresist layer. The pattern in the bth is transferred to a hard mask formed by the lower hard mask layer. [Inventive content] The system ίίϋ is a kind of system _ to protect - chemical processing room and / or heat material de-state = For the purpose of executing the material on the substrate, first, it comprises - the first processing chamber and the second processing chamber, the two materials - the two thieves connected to the other two; ί: With at least the chemical processing chamber - the composition of the two ': early (three),. The first processing chamber includes a heat treatment chamber, and at least a heat treatment to a protective barrier layer disposed on one of the compositions. 4. In the material processing method, in order to set a mask for transferring the pattern on the side, the pattern engraving step includes applying a light layer such as a photoresist to the subsequent layer. To be - at the upper surface of the substrate. The patterning of the photosensitive material usually includes the steps of using a lithography system to expose the photosensitive material to the 1278528 stalking wrist, the developing solvent to remove (as in the case of a positive photoresist) or the non-irradiated area (eg The negative photoresist is provided with a grading layer and a hard mask for etching characteristics. For example, in the use step, the mask pattern is transferred to the hard fresh layer using the mask pattern before the main side step, and the hard mask is optional. The two-step process from the Ba-A beta includes, for example, a chemical treatment to change the exposed surface of the hard mask layer of the hard mask layer, and a heat treatment for exposing the exposed surface of the hard mask layer to change the surface. A schematic diagram of a processing system in accordance with an embodiment of the present invention is illustrated. As shown in FIG. 1, a mask is used to treat a substrate, for example, using a mask layer trimming. 1: The processing system 1 can include a first processing System 10, a second processing system 20 of the geographic system 10. For example, the first processing system 10 can produce a heat treatment system, and the second processing system 2G can include a processing system. As shown in the figure! The substrate is transported into and out of the first processing system 10 and the second processing system, and the substrate is exchanged with the duplicate manufacturing system*, so that the transfer system 30 is connected to the first processing system 1 . The processing system 1〇, 2〇 and the transport system 3〇 may, for example, comprise a processing element coupled to the replica system 40. The replica manufacturing secret 4G may permit transfer of the panel, including, for example, a side system, a deposition system, a coating Processing elements such as cloth systems, patterning systems, hand 1 systems, etc. In order to isolate processes occurring in the first and second systems, an isolation assembly 50 can be coupled to each system. The isolation assembly 50 can include at least one of a thermal insulation assembly that provides thermal isolation and a vacuum isolation, and in an alternative embodiment, the processing systems 10, 20 and the delivery system 3 can be In a random order, a controller 60 can be connected to the first processing system 1 , the second processing system 1278528, and the second::::: control to control the first location to form different states. Can be unified with the transmission system 30, usually, such as 1 The processing arrangement f is arranged side by side. The first system, the first processing system, the first processing system, and the second processing opening, the first transmission opening 10 includes The passage between the two systems 30, and the passage between the second transmission and the transmission system and the second processing system 20. Further, the processing system (1) transmits the opening, and the passage of the read permitting substrate may include at least one Say = ί = use a schematic. To heat treatment __- chemistry contains a temperature control of a "can be included door component 2% to the other true L edge if you can use a question Figure 3 is showing this The chemistry diagram of the invention-embodiment. As shown in Figures 2 and 3, the chemical treatment system 22 can be '=, _ _ substantial thermal insulation, and _ support - ene (ptFE) and / or tetrafluoroethylene (TFE) Made. At the same time ^ there are n ^ ^ love (four) - a ^ tilt. Then the %2 barrier layer 241 can be treated by anodic treatment with a metal and _ PTFE and/or TFE impregnated by anodizing. For example, the protective barrier layer can be protected by a hard anode or her ^ 1278528 with = It is formed by impregnating a hard anodized surface with TFE and/or PTFE. In an alternate embodiment, there is no need to protect the barrier layer 241. In an alternative embodiment of the present invention, the protective barrier layer 241 may include Al2〇3, =(Y2〇3), Sc203, Sc2F3, YF3, La2〇3, Ce〇2, Eu2〇3, and Dy〇3. ^ ^ One less. In an additional embodiment of the invention, the protective barrier layer 222 may include a second column of elements (the third column of the table) and the petals, at least one of the elements. In the present invention, the third column element may comprise at least one of 妃, 铳 and 镧. In the present invention, the 嶋 element may comprise at least one of _, 镝 and pin. In the present invention-embodiment, the crane _ 241 may have a minimum thickness, and the maximum thickness may be specified as a constant of at least one of the surfaces of the traverse portion. In another embodiment, the minimum thickness can be a variable across the interior surface. Also, the minimum i degree is a constant that exceeds the first portion of the surface and a change from the second portion of the surface. For example, 'variable thickness can be on the surface, on the corner, or on a hole =: For example, the minimum thickness can be in the range from about 0.5 micron to about 5 〇〇 micron + θ, and the thickness is small. It may fall within a range from about 100 microns to about 200 microns; or the reduced thickness may be at least about 120 microns. The support 240 of the crucible may include an early layer 245° of the reed P disposed on the top surface of the substrate holder 240 and the protective barrier layer 245 is made of a material selected from the range of the album material as described in the protective barrier, and It may have the same thickness as described for the [P layer 241]. Again, the barrier sound 245 may not be required. At the same time, the chemical treatment system 220 may further include a pumping system connected to the pressure in the chemical chamber 221, and the processing gas is introduced into the processing space in the chemical processing chamber 221. An upper component 260. Further, the chemical processing chamber 221 may include a protective barrier layer 222 disposed in one or more of the chemical processing chambers 221. The protective barrier layer 222 can be made of a material of the same material range as described by the barrier layer 241, and has the same thickness as that of the protective barrier layer 241. Again, the 1278528 protective barrier layer 222 may not be required. Additionally, a protective barrier layer 261 can be disposed on more than one inner surface of the upper assembly 26A. The protective barrier layer 261 can be made of a material selected from the same material range as the protective barrier layer 241, and it can have the same thickness as described for the protection b 241. Also, the protective barrier layer 261 may not be required. The present invention may comprise a process having a plurality of steps, which may include, for example, preparing more than one surface to receive a protective barrier layer and then forming a protective barrier layer on those surfaces. One

—如圖2與5所示,熱處理系統21〇可更包含有安裝在熱處理 室211内且建構來與熱處理室211實質熱絕緣且建構來支撐、一基 ,242,一受溫控之基板支座27〇、用來排空熱處理室211的一^ 空幫浦,統28G、-基板升降組件29〇,與連接至熱處理室211 ^ 一驅動系統530。而升降組件290可將基板242”在一支撐面(實線 與基板支座270(虛線)之間、或配置於其間之一傳送面間垂直移 動。熱處理室211可更包含有一上組件284。 此外,熱處理室211可包含有熱處理室211之一個以上内表 面上所δ又置的一保濩屏障層212。而保護屏障層212係可由選自如 保護屏障層241所述般之相同材料範材料所製成的,且其- As shown in Figures 2 and 5, the heat treatment system 21 can further include a substrate mounted in the heat treatment chamber 211 and constructed to be substantially thermally insulated from the heat treatment chamber 211 and constructed to support, a base, 242, a temperature-controlled substrate. The seat 27 is an empty pump for evacuating the heat treatment chamber 211, the system 28G, the substrate lifting assembly 29A, and the heat treatment chamber 211 ^ a drive system 530. The lifting assembly 290 can vertically move the substrate 242" between a support surface (solid line and substrate support 270 (dashed line) or between one of the transfer surfaces disposed therebetween. The heat treatment chamber 211 can further include an upper assembly 284. In addition, the heat treatment chamber 211 may include a protective barrier layer 212 disposed on one or more inner surfaces of the heat treatment chamber 211. The protective barrier layer 212 may be selected from the same material material as described in the protective barrier layer 241. Made of, and

可具有如保護屏障層241所述般的相同厚度。又,可不需要保護 屏障層212。 另外,熱處理至211、化學處理室221與熱絕緣組件230會定 ,出可傳送基板的-通用開口 294。在處理期間,為了容許兩處理 至211、221 Θ的獨立處理’故可使用閘閥、纟且件296來密封住通用 開口 294。 此外,為了容許利用如圖1所示的一傳送系統來交換基板, 故可在熱處理室211内設置-開口 298。而第二熱絕緣組件231係 可安裝來使得熱處理室211與傳送系統(未圖示)呈執絕緣的。雖缺 是將開口 298當作熱處理室211的一部分(與圖丨丄致)來說明的,' 但是該傳送開口观係可設置在化學處理室221内、而非熱處理 11 I278528 至内(與如圖1所示的相反處理室位置)。 恭同,,閘閥組件290、通用開口 294及/或傳送開口 298之曝 ,面j系了11 又置著一保護屏障層(未圖示)。而保護屏障層係可由選 ,保濩屏障層241所述般之相同材料範圍的一材料所製成的, 且,、可具有如保護屏障層241所述般的相同厚度。又,可不需要 保護屏障層。 而晋 制學處理系統220可包含有為了提供熱控 ^處理基板242用之數種操作功能的-基板支座240與-基板 支座組件244。而基板支座24〇與基板支座組件2私可包含 械力)將基板242夾至基板支座24G的—靜電夾甜系統 (或棧械夾鉗糸統)。舉例來說,夾鉗系統可包含有包含著ρτρΕ /或TFE的頂表面。 ϋ’基板支座24G可例如更包含有具備著再循環冷卻流體 1二々部糸統’其中該再循環冷卻流體會接收來自於基板支座24〇 执至熱交換系統(未圖示)、或在加熱時將熱能從 ‘ΐίίΐΐΐ ;再者’例如可經由一背側氣體系統纏 f 240間的氣體間隙熱料性。舉例來說,供應至基板ϋ = ίΪ熱if if可包含有諸如統、氬氣、^、減的一惰性 ,體^如cf4、c4F8、c5F8、(:4F6等等的-處理氣體,或諸如氧 亂、氮氣或統的其它纽要縣板溫度_在 時i即可利用這樣的系統。例如,背側氣體系統可包含 邊界)的一複區氣體分配系統,其中在基板242 之中央”邊界間的月側氣體賺壓力係可獨立變化的 接 Ϊ:二加熱元件或熱電加熱器,冷卻11的加熱;卻^ Γίί ^在基板支座240中’同時係亦可包含在化學處理室221 圖7是說明域行數種上面標示出之功能的 座300之實施例。基板支座·可包含有連接至化學 12 1278528 之低壁的一室相連組成部310、連接至室相連部31()的一絕緣部 312,與連,至絕緣部312的一溫控元件314。室相連與溫控元件 310、314係可能從諸如|呂、不鏽鋼、鎳等等的電導體與熱導體材 料所製作而成的。而絕緣部312係可從諸如石英、氧化鋁、、TFE、 PTFE等等具有姆低熱料性㈣阻式加紐料所製作而成的。 此外,室相連與溫控元件31〇、314可包含有其一個以上之外 表面上所设置的保護屏障層3Π、315。同時,絕緣部312絕緣可 $含有其-個以上之外表面上所設置的—保護屏障層313。而保護 井障層311、313與315係可由選自如保護屏障層241所述般之相 同材料範圍的-材料所製成的,且其可具有如保護屏障層撕所 述般的相同厚度。又,可不需要保護屏障層3U、313與315。 、溫控兀件314可包含有諸如冷卻通道、加熱通道或熱電元件 的溫控元件。舉例來說,如圖7所示,溫控元件似可包含有且 備著一冷卻劑入口 322與一冷卻劑出口 324的一冷卻劑通道32〇、。 冷卻劑通道320可例如是位在溫控元件314内的一螺旋通道,其 為了提供溫控元件314傳導對流冷卻而容許諸如水、Flu()rinert、 GaldenHT_135料的冷卻劑流體。又,溫控元件314可包含有可 根據穿過f自元件之電流方向來加熱或冷卻基板的—熱電元件陣 列。一不範性熱電元件為商業化產品AdvancedTherm〇electric的 M〇d”T_l27-i.4_8.5M(具有最大π瓦熱能傳送功率的4〇毫米乘 以40耄米乘以3.4毫米的熱電裝置)。 另外,基板支座300可更包含有一靜電夾鉗(ESC)328,而該 =電,钳(ESQ328包含有-随層33G、嵌入其間的一夾甜電極 、,、使用一電連接336而連接至夾鉗電極332的一高壓直 ,電壓,供器334。ESC”8可例如為單極的,或為雙極的。這樣 爽鉗的設計與絲係為靜電纽系統技術之_人顿已知者。 ΐ 一,ΐ中1一保護屏障層243係可設置在基板支座的上表面 。而保4屏障層243係可由選自如保護屏障層241所述般之相 同材料範圍的-材料所製成的’且其可具有如倾屏障層糾所 13 1278528 述般的相同厚度。又,可不需要保護屏障層243。 係用ί 板,,可更包含有—背側氣體供應系統34〇,其 氣、祕、&氣、氪氣之惰性氣體的—熱傳送氣 f褒式〇々、^、^、见等等的一處理氣體义諸如氧氣、 的其它氣體經由至少一氣體供應線路342而供庫至美 ^^背^背侧氣體提供系統可為諸如—兩區 變至邊界供應綠’其巾可將_壓力從巾央放射狀改 绪溫控元件314與下面之相連部310間提供額外之轨絕It may have the same thickness as described for the protective barrier layer 241. Again, the barrier layer 212 may not be required to be protected. In addition, heat treatment to 211, chemical processing chamber 221 and thermal insulation assembly 230 define a universal opening 294 that can transport the substrate. During processing, in order to allow for independent processing of the two processes to 211, 221 ’, a gate valve, 纟 and member 296 may be used to seal the universal opening 294. Further, in order to allow the substrate to be exchanged using a transfer system as shown in Fig. 1, an opening 298 may be provided in the heat treatment chamber 211. The second thermal insulation component 231 is mountable to insulate the thermal processing chamber 211 from the delivery system (not shown). Although the opening 298 is used as part of the heat treatment chamber 211 (as shown in the figure), 'but the transfer opening can be disposed in the chemical processing chamber 221 instead of the heat treatment 11 I278528 (as in The opposite processing chamber position shown in Figure 1). Congruently, the gate valve assembly 290, the universal opening 294, and/or the transfer opening 298 are exposed, and a protective barrier layer (not shown) is placed. The protective barrier layer may be made of a material of the same material range as described in the protective barrier layer 241, and may have the same thickness as described for the protective barrier layer 241. Also, a protective barrier layer may not be required. The processing system 220 can include a substrate holder 240 and a substrate holder assembly 244 for providing a number of operational functions for thermally controlling the substrate 242. The substrate holder 24 and the substrate holder assembly 2 may contain mechanical means for clamping the substrate 242 to the substrate holder 24G - an electrostatic chucking system (or a stacker system). For example, the clamp system can include a top surface that includes ρτρΕ / or TFE. The substrate support 24G may, for example, further comprise a recirculating cooling fluid 1 in which the recirculating cooling fluid is received from the substrate support 24 to a heat exchange system (not shown), Or, when heating, heat energy from 'ΐίίΐΐΐ; again', for example, through a backside gas system, the gas gap between the 240 gas is heated. For example, the supply to the substrate ϋ = Ϊ Ϊ heat if if may include an inert gas such as argon, argon, ^, minus, such as cf4, c4F8, c5F8, (: 4F6, etc. - processing gas, or such as Oxygen, nitrogen, or other neon plate temperature _ can use such a system at a time. For example, the backside gas system can include a boundary of a complex gas distribution system, where the central boundary of the substrate 242 The monthly side gas earning pressure is an independently changeable connection: two heating elements or thermoelectric heaters, cooling 11 heating; but ^ Γ ίί ^ in the substrate holder 240 'simultaneous system can also be included in the chemical processing chamber 221 7 is an embodiment of a seat 300 illustrating the functions of the above-described plurality of field rows. The substrate holder may include a low-walled one-chamber connecting portion 310 connected to the chemical 12 1278528 and connected to the chamber connecting portion 31 () An insulating portion 312, connected to a temperature control element 314 of the insulating portion 312. The chamber is connected to the temperature control element 310, 314 may be made of electrical conductors and thermal conductor materials such as | Lu, stainless steel, nickel, and the like. The insulating portion 312 can be made from, for example, quartz, oxygen Aluminum, TFE, PTFE, etc. are made of low-calorie (4) resistive addition materials. Further, the chamber connection and temperature control elements 31〇, 314 may include one or more outer surfaces. The barrier layers 3, 315 are protected. At the same time, the insulating portion 312 may be insulated to include a protective barrier layer 313 disposed on at least one of its outer surfaces. The protective barrier layers 311, 313 and 315 may be selected from, for example, a protective barrier layer. The same material range of materials as described in 241, and which may have the same thickness as the protective barrier layer tear. Further, the protective barrier layers 3U, 313 and 315 may not be required. 314 may include temperature control elements such as cooling channels, heating channels, or thermoelectric elements. For example, as shown in FIG. 7, the temperature control elements may include and have a coolant inlet 322 and a coolant outlet 324. A coolant passage 32. The coolant passage 320 can be, for example, a spiral passage located within the temperature control element 314 that allows for convective cooling of the temperature control element 314 to allow for materials such as water, Flu()rinert, GaldenHT_135 Coolant fluid. The temperature control element 314 may include an array of thermoelectric elements that can heat or cool the substrate according to the direction of the current flowing through the element from F. The non-standard thermoelectric element is a commercial product AdvancedTherm〇electric M〇d"T_l27-i .4_8.5M (4 〇 mm multiplied by 40 乘m by 3.4 mm thermoelectric device with a maximum π watt heat transfer power). In addition, the substrate holder 300 may further include an electrostatic clamp (ESC) 328, and the electric device, the clamp (the ESQ328 includes a layer 33G, a sweet electrode embedded therebetween, and is connected by using an electrical connection 336). A high voltage straight, voltage, and supply 334 to the clamp electrode 332. The ESC"8 can be, for example, unipolar or bipolar. Thus, the design and the wire of the clamp are electrostatic system technology. I. One, the protective barrier layer 243 can be disposed on the upper surface of the substrate support, and the barrier layer 243 can be selected from a material selected from the same material range as the protective barrier layer 241. The resulting 'and may have the same thickness as described for the barrier barrier layer 13 1278528. Again, the protective barrier layer 243 may not be required. The slab may be further included with the back side gas supply system 34A, a gas of a gas, a gas, a gas, a helium gas, a gas of a helium gas, a heat transfer gas, a gas of a gas, a gas, and the like, and other gases such as oxygen, via at least one gas supply line 342 And the supply to the US ^ ^ back ^ back side gas supply system can be changed to Border supply Green '_ which may be a pressure change towel from the towel radially central thread thermostatic element 314 is connected with the underlying portion 310 of the rail must provide additional

==絕緣間隙35G係可利用屬於真空幫浦系統25G i 至一氣體供應處(未圖示)的一幫浦系統(未圖示)或-直 空1氣體供應處可例如為用來將熱傳送氣體連接 至基板242月側的背側氣體提供系統34〇。 -卜ίΐίΐί242”垂直傳送進出處理系統内的基板支座3〇〇之 面’故相連部310可更包含有能夠提高與降低 —、上牛起針362的一舉起針組件360 〇 一 學處—部、並為了將基板支座綱附著至化 予處理至221,故各部31〇、312與314 =與螺^。此外,各部310、312與314更有=讓== =空:;2性〇環的真空密封咖^ 姐板支座240的溫度係可利用諸如熱偶(例如〖型孰 :;=f!等等)的溫度感應裝置344來加以監控的。此外:、、 的溫度,故控制器可利用溫度測量值來反==Insulation gap 35G can utilize a pump system (not shown) belonging to the vacuum pump system 25G i to a gas supply (not shown) or - a straight air 1 gas supply can be used, for example, to heat The transfer gas is connected to the backside gas supply system 34A on the side of the substrate 242. - Bu ΐ ΐ 242 242 242 ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” ” And in order to attach the substrate support to the treatment to 221, the parts 31〇, 312 and 314 = and the screw ^. In addition, each part 310, 312 and 314 has = = = = = empty:; 2 The temperature of the vacuum sealer of the cymbal ring can be monitored by a temperature sensing device 344 such as a thermocouple (for example, 孰:; =f!, etc.). Therefore, the controller can use the temperature measurement to reverse

It i㈣'速、流體溫度、熱傳送氣體類型、熱傳 電流‘性料加熱器元件電流或電壓、熱電裝置 14 1278528 再度參照圖2與3,化學處理系統220可包含有具備著氣體分 配系統的一上組件260。 在如圖8A與8B(圖8A的放大圖)所示的實施例中,用來分配 可包含至少兩種氣體之處理氣體的氣體分配系統420,其包含有一 氣體分配組件424、連接至氣體分配組件424並建構來將一第一種 氣體偶合至化學處理室221之處理空間處的一第一氣體分配板 430,與連接至第一氣體分配板43〇並建構來將一第二種氣體偶合 至化學處理室221之處理空間處的一第二氣體分配板432。第一氣 體分配板430,當連接至氣體分配組件424時,會形成一第一氣體 分配滿載空間440。另外,第二氣體分配板432,當連接至第一氣 體分配板430時,則會形成一第二氣體分配滿載空間442。雖然未 圖不,,是氣體分配滿載44〇、442可包含有一種以上的氣體分配 扠板。第一氣體分配板432可更包含有一個以上孔洞444的一第 陣,’其中该第一陣列是連接、並相符於第一氣體分配板43〇 内所。又置之一個以上通道的一陣列,與一個以上孔洞4似的一笛It i (four) 'speed, fluid temperature, heat transfer gas type, heat transfer current' material heater element current or voltage, thermoelectric device 14 1278528 Referring again to Figures 2 and 3, the chemical treatment system 220 may include a gas distribution system An upper component 260. In the embodiment shown in Figures 8A and 8B (enlarged view of Figure 8A), a gas distribution system 420 for dispensing a process gas that can include at least two gases, including a gas distribution assembly 424, coupled to the gas distribution The assembly 424 is also configured to couple a first gas to a first gas distribution plate 430 at the processing space of the chemical processing chamber 221, and to the first gas distribution plate 43 and to construct a second gas coupling A second gas distribution plate 432 to the processing space of the chemical processing chamber 221 . The first gas distribution plate 430, when coupled to the gas distribution assembly 424, forms a first gas distribution full load space 440. Further, the second gas distribution plate 432, when connected to the first gas distribution plate 430, forms a second gas distribution full load space 442. Although not shown, the gas distribution is fully loaded 44 〇, 442 may contain more than one gas distribution fork plate. The first gas distribution plate 432 can further include a first array of more than one aperture 444, wherein the first array is connected and conforms to the first gas distribution plate 43. An array of more than one channel, a flute similar to more than one hole 4

、刀的乾圍内,而該長度則可在從約 ° ilt夕卜,夂、:曰亦目亡乂六卉成命π上 〇·5毫米至約5公分的範圍内。此外,In the dry circumference of the knife, the length can be in the range of about 5 mm to about 5 cm from about i lt 夂 夂 夂 夂 曰 。 。 。 。 乂 乂 乂 乂 。 。 。 。 。 。 。 。 5 5 5 5 5 5 5 5 5 5 5 5 In addition,

15 1278528 再度參照圖2與3,化學處理系統220可更包含有維持在一升 高溫度下的一受溫控之化學處理室221。舉例來說,壁溫控元件 266係可連接至壁溫控單元268,且壁溫控元件266則係可建構來 連接至化學處理室221。溫控元件可例如包含有一電阻式加熱器元 件及/或一冷卻元件Mb學處理室221的溫度係可利用諸如熱偶(例 如K型熱偶、白金感測器等等)的溫度感應裝置來加以監控的。此 外,為了控制化學處理室221的溫度,故控制器可利用溫度測量 值來反饋至壁溫控單元268。 再度參照圖3,化學處理系統220可更包含有一上組件260, 其中該上阻件260可包含有用來將上組件及/或處理氣體維持在一 選定溫度下的一受溫控之氣體分配系統。舉例來說,溫控元件 係可連接至一氣體分配糸統溫控單元269,且溫控元件267則係可 建構來連接至氣體分配系統260。溫控元件可例如包含有一電阻式 加熱器元件及/或一冷卻元件。上組件及/或處理氣體的溫度係可利 用諸^熱偶(例如K型熱偶、白金感測器等等)的溫度感應裝置來 加=監控的。此外,為了控制上組件及/或處理氣體的溫度,故控 制器可利用溫度測量值來反饋至氣體分配系統溫控元件269。 ,再度參照圖2與3,真空幫浦系統250可包含有用來調節處理 室壓,一真空幫浦252與一閘閥254。真空幫浦252可例如包含有 能夠每秒抽取速度高達5000公升(與以上)的一渦輪分子直空幫浦 (TMP)。舉例來說,TMP可為Sdk〇 STp姻3真空幫浦:或 ETl3〇l w A空幫浦。TMP對於典型上小於5〇毫托的低壓處理是 相當有用的。對於高壓(亦即大於約湖毫托)或低吞吐量處 即無氣流)來說,則可使用-機械助力幫浦與乾式低真空幫浦。 …垂3,化學處理系統220可更包含有具備著一微處理 3己憶體與-數位I/O槔的一控制器235,其中該控制哭235能 夠產生出足以傳遞與啟動至化學處理系統22G之輸入 化ΐί魏统22G中諸如溫度與壓力感應裝置之輸出 制電壓。再者’控制器235係連接至、並可與基板支座組件244、 1278528 氣-刀配系統260、真空幫浦系統25〇、閘閥組件296、壁溫控單 = 26^與氣體分配系統溫控單元269交換訊息。舉例來說,可根 处理配$、姻儲存在記憶體内的—程式來啟動至化學處理 之财Ϊ部份的輸入。一種控制器235案例為位在德克薩 π_τΙτ=^Ί _細㈣llp腿麵 i 案1列中’圖4展現出更包含有具備著一把手1223至少一 叙鏈1227之一蓋子1222、學觀察處1225 、1二tf感應衣置1226的一化學處理系統1220。 缘(未包含有一光學窗(未圖示),且一光學窗凸 連接至處理室壁。光學監控系統加可容許 舉:說,可二射穿處1225的情況。 哎士電—極體、一光倍增管、一 CCD、CID, om 處理期間或處理後的處理内條件,故 =====換實施例中,光學監控 確定ΐίίίϊϊ所進行之系統部狀態的監控動作,其可包含有 到需要清i及/或更的!^水平是否會超過臨限值,達 理或停止處理。、’、的'、疋2,亚根據該決定點來繼續處 學放面上所沉積之材料的光 控-種以上之材料反射特性譜法(〇es)來監 可塗佈上-系統部並可㈣波長乾圍。在處理期間,材料 料厚度,並可監控電聚料之光學特性來決定材 過一指定臨限值時,可決^是^要性、。當光學特性超 繼續或停止製程。 否要糸糸統部,並根據該決定來 17 1278528 如圖2與5所示,熱處理系統210可更包含有一受溫控之基 板支座270。該基板支座270包含有利用一熱屏障層274而與熱處 理室211熱絕緣的一墊座272。舉例來說,該基板支座27〇係可從 諸如鋁、不鏽鋼或鎳等等所製作而成的,而熱屏障層274係可從 諸如PTFE、TFE、氧化鋁、石英等等之熱絕緣體所製作而成的。 基板支座270可更包含有欲入其間的一溫控元件276,與連接至其 處的一基板支座溫控早元278。溫控元件276可例如包含有一電阻 式加熱器元件及/或冷卻元件。 基板支座270的溫度係可利用諸如熱偶(例如κ型熱偶)或光 纖溫度計的溫度感應裝置來加以監控的。此外,為了控制基板支 座270的溫度,故控制器275可利用溫度測量值來反饋至基板支 座溫控單元278。 再度參如圖5’熱處理糸統210可更包含有維持在一選定溫度 下的一受溫控之熱處理室211。舉例來說,熱壁控元件283係可連 接至熱壁溫控單元281,且熱壁控元件283則係可連接至熱處理室 211。該控制元件可例如包含有諸如鎢、鎳鉻合金、鋁鐵合金或氮 化鋁細絲的一電阻式加熱器元件。又,或此外,可將冷卻元件使 用在熱處理室211内。熱處理室211的溫度係可利用諸如熱偶(例 如K型熱偶、白金感測器等等)的溫度感應裝置來加以監控的。此 外,為了控制熱處理室211的溫度,故控制器275,可利用溫度測 量值來反饋至熱壁溫控單元281。 再度參照圖5’熱處理系統21〇可更包含有維持在一選定溫度 下的一X溫控之上組件284。舉例來說,一上組件溫控元件 係了連接至一上組件溫控單元286,而上組件溫控元件285係可連 接至上,件284。溫控元件可例如包含有諸如鎢、鎳鉻合金、鋁鐵 合金或氮化鋁細絲的一電阻式加熱器元件。上組件284的溫度係 可利用諸如熱偶(例如K型熱偶、白金感測器等等)的溫度感應裝 置來加以監控的。此外,為了控制上組件284的溫度,故控制器 275可利用溫度測量值來反饋至上組件溫控單元286。而上組件 18 1278528 284可額外或又包含有一冷卻元件。 林圖2與5 ’熱處理系統21G可更包含有一基板升降組 ,驅動糸統530。基板升降組件290係可建構來將一基板 242降低至基板支座27〇的上表面,並將一基板242”從基板支座 2J0的上表面升高至其_ —域面或—傳送面。在傳送面處,可 讓,板242”與用來將基板傳送進出化學與熱處理室221、211的一 ,达^統進行交換。而在支撐域,射在傳送祕與化學與熱 处理至221、211間進行另一基板的交換同時、讓基板242” 卻。 ,J 7 如圖9 T示,基板升降組件29〇可包含一葉片5〇〇,其中該葉 f ^00具有二個以上的凸耳510、用來將基板升降組件29G連接至 211的一凸緣520,與用來容許熱處理室内之葉片500的 送的一驅動系統530。凸耳510係建構祕緊處於升高位置 處的基板242,,,且在處於較低位置時係建構成凹進基板支座27〇 =设置的接收财。而驅動系統53Q可例如是設計成符合包含 J筒型衝程長度、筒型衝程速度、位置準確性、非旋轉準確性等 ί之ΐ種規格的—鎌鷄祕,而其設計係為熟知氣壓驅動系 統設計之技術的相關人士所已知者。 ㈣ 此外,了保護屏障層512係可設置在一個以上的葉片5〇〇表 ,上。而巧護屏障層512係可由選自如保護屏障層241所述般之 相同材料範圍的-材料所製成的,且其可具有如保護屏障層241 所述般的相同厚度。又,可不需要保護屏障層512。 再度參照圖2與5,熱處理系統21G可更包含有-直空幫浦车 ϊ空幫浦系統280可例如包含有一真空幫浦,^諸二閘 ί =流閥的―調節閥。真空幫浦可例如包含有能夠每秒抽取速 又同達5000公升(與以上)的一涡輪分子真空幫浦(TMp)。τΜρ對 於典型上小於5〇毫托的低麼處理是相當有用的。對於高亦即大 於約100宅托)來說,則可使用一機械助力幫浦與乾式低直空幫浦。 再度參照圖5,熱處理系統21G可更包含有具備著二微處理 1278528 器、記憶體與-數位1/0埠的一控制器275,其中該 匕 ΐίίΐ足以傳遞與啟動至熱處理系統210之輸入、二監斤來匕 is ϊ=ο中之輸出的控制電壓。再者,控制器275係 ίΪL 板支座溫控單元’、上組件溫控單元286、上 ^ ϊ控單元281、真空幫浦系統彻與基板升降組件 内的練’可根據一處舰方、_儲存在記憶體 來 熱處理系統21G之前述部份的輸人。一種控 制為275案例為位在德克薩斯州奥斯丁之戴 DELL PRECISION WORKSTATION 610TM 〇 ㈣業化“ 在替換實施例中,控制器235與275可為相同的控制器。 在一案例中,圖6展現出更包含有具備著一把手2213 ^至 =ΐ=之2212、一光學觀察處1225、至少一壓力感 應衣置2216、至少一調準裝置2235與至少一固定裝置2236的一 ^處理系統2210。另外,為了識別基板是否位在支撐面内,故熱 ί ,可更包含有一基板_系統2217。基板偵測系統可 例如包έ有一 Keyence數位雷射感應器。 在一案例中,如圖2所示的處理系統2〇〇可為用來修整一氧 化物硬遮,的化學氧化物去除⑼聯統。處理系統可包含有 化學處理巧220,_化學處㈣統22Q是絲化學處理諸如位 在基板上之氧化物表層的曝露表層,藉此曝露表面上的處理化學 品吸附會影響表層的化學變化。另外,處理系統包含有熱處 理系統210,而該熱處理系、统21〇是用來熱處理基板,藉此為基板 上之化學變化曝露表層的釋出(揮發)而升高基板的溫度。 、在化學,,系統220中,會排空處理空間262(參見圖2),並 ^入包含f氫氟酸與氨氣的一處理氣體。處理壓力可落在從約^ 至約100¾托的範圍内,又或落在從約2至約25毫托的範圍内。 ^理氣體流速可落在各氣體從約丨至約2QGseem的範圍内,又或 落在各氣體從約10至約獅晴的範圍内。雖細示在圖2與3 中的真空幫浦系統250是從化學處理室221側面來進行存取,但 20 1278528 是可達到一均勻(三維)的壓力域。表1是說明出基板表面之壓力均 勻性與氣體分配系統260與基板242上表面間之處理壓力與間距 的函數關係。 _ (%) h(間距) _壓力 50毫米 62 75 100 200 20毫托 0.6 NA NA NA NA 9 NA NA 0.75 0.42 NA 7 3.1 1.6 1.2 NA NA 4 5.9 2.8 NA NA NA 3 NA 3.5 3.1 1.7 0.33 表1 另外’化學處理室221係可加熱至從約3〇°c至約i〇〇〇c範圍 内的一溫度。舉例來說,該溫度可為約4〇〇c。另外,氣體分配系 統係可加熱至從約40〇C至約1〇〇。(:範圍内的一溫度。舉例來說^ 該溫度可為約50。(:。基板係可維持在從約咖至約5代範圍 的一溫度。舉例來說,該基板溫度可為約2〇〇c。 至約 .u ^,固門的皿度舉例來呪,該溫度可為約80〇C。另 外,上組件係可加熱至從約5〇〇c至約励〇c範圍内的一 說兴:I為約8〇。°。基板係可加熱至超過約100:c'的: 從約 1QV °c 的範 _。 在此所述之化學處理與熱處理,1 出超過對熱氧化物進行化學處二得物表層產生 量’其可對曝露氧化物表的i刻 所得之每⑽秒約25nm的一敍4 生出超過對錄職進行化學處理所得之每^產 !278528 _^處理亦可產生出超過小於約2.5%基板的侧變量。 熟知^1已_細描述的僅有本發明的確切實關,但是對於 二j技*之相關人士而言,將可能很容易在實質上不脫離本 :jί新穎技術與優點下、在實施例中體會出許多修正例。因此, 厅有這樣修正例係預期會包含於本發明的範圍内。 22 1278528 五、【圖式簡單說明】 圖1,说=出本發明一實施例的處理系統之示意圖; 示ί本發明—實施例的處理系統之橫剖面示意圖; 圖3疋”、'員不出本發明一實施例的化學處理系統之橫剖面示意 圖, u 立圖4是顯不出本發明另一實施例的化學處理系統之橫剖面示 思圖; 、 圖5是顯示出本發明一實施例的熱處理系統之橫剖面示意圖; 圖6是顯示出本發明另一實施例的熱處理系統之樺剖面^意 圖; 圖7是,明出本發明一實施例的基板支座之橫剖面示意圖; 一圖8Α是說明出本發明另一實施例的氣體分配系統之橫剖面 示意圖; 圖8Β是說明出本發明一實施例、如圖8Α所示的氣體分配系 統之放大圖;以及, 圖9是顯示出本發明一實施例的基板升降組件。 【元件符號之說明】 200〜處理系統 210〜熱處理系統 220〜化學處理系統 211〜熱處理室 221〜化學處理室 222〜保護屏障層 230〜熱絕緣組件 231〜絕緣組件 240、270〜受溫控之基板支座 241〜保護屏障層 242、242’、242”〜基板 23 1278528 243〜定心環 244〜基板支座組件 245〜保護屏障層 250、280〜真空幫浦系統 252〜真空幫浦 254〜閘閥 260、284〜上組件 261〜保護屏障層 262〜處理空間 266〜壁溫控元件 268〜壁溫控單元 276〜溫控元件 278〜基板支座溫控單元 281〜熱壁溫控單元 283〜熱壁控元件 290〜基板升降組件 294、298〜通用開口 296〜閘門組件 500〜葉片 510〜凸耳 512〜保護屏障層 520〜凸緣 530〜驅動系統15 1278528 Referring again to Figures 2 and 3, the chemical processing system 220 can further include a temperature controlled chemical processing chamber 221 maintained at a temperature of one liter. For example, wall temperature control element 266 can be coupled to wall temperature control unit 268, and wall temperature control element 266 can be constructed to connect to chemical processing chamber 221. The temperature control element can comprise, for example, a resistive heater element and/or a cooling element Mb. The temperature of the processing chamber 221 can be temperature sensitive using a temperature sensing device such as a thermocouple (eg, a K-type thermocouple, a platinum sensor, etc.). Monitored. Additionally, to control the temperature of the chemical processing chamber 221, the controller can utilize the temperature measurements to feed back to the wall temperature control unit 268. Referring again to FIG. 3, the chemical processing system 220 can further include an upper assembly 260, wherein the upper resist 260 can include a temperature controlled gas distribution system for maintaining the upper assembly and/or process gas at a selected temperature. . For example, the temperature control element can be coupled to a gas distribution system temperature control unit 269, and the temperature control element 267 can be constructed to be coupled to the gas distribution system 260. The temperature control element can for example comprise a resistive heater element and/or a cooling element. The temperature of the upper assembly and/or process gas can be monitored using temperature sensing devices such as thermocouples (e.g., K-type thermocouples, platinum sensors, etc.). Additionally, to control the temperature of the upper assembly and/or process gas, the controller can utilize temperature measurements to feed back to the gas distribution system temperature control element 269. Referring again to Figures 2 and 3, the vacuum pump system 250 can include a vacuum pump 252 and a gate valve 254 for regulating the process chamber pressure. Vacuum pump 252 may, for example, comprise a turbomolecular direct mass pump (TMP) capable of pumping speeds of up to 5000 liters per second (and above). For example, the TMP can be a Sdk〇 STp marriage vacuum pump: or an ETl3〇l w A empty pump. TMP is quite useful for low pressure processing typically less than 5 Torr. For high pressures (ie greater than about a few millimeters of water) or low throughput (ie no airflow), a mechanical boost pump and a dry low vacuum pump can be used. The chemical processing system 220 can further include a controller 235 having a micro-processing 3 memory and digital I/O ,, wherein the control crying 235 can generate enough to transfer and initiate to the chemical processing system. The input voltage of 22G is the output voltage of temperature and pressure sensing devices in Weitong 22G. Furthermore, the controller 235 is connected to and can be coupled to the substrate holder assembly 244, 1278528, the gas-knife distribution system 260, the vacuum pump system 25, the gate valve assembly 296, the wall temperature control unit = 26^, and the gas distribution system. Control unit 269 exchanges messages. For example, the program stored in memory can be rooted to initiate the input to the financial portion of the chemical process. A controller 235 case is located in the Dexa π_τΙτ=^Ί _fine (four) llp leg face i case 1 'Figure 4 shows more includes a handle 1223 at least one of the chain 1227 cover 1222, the school observation 1225, 1 2 tf induction garment 1220 a chemical treatment system 1220. Edge (not including an optical window (not shown), and an optical window is convexly connected to the wall of the processing chamber. The optical monitoring system can be used to say that it can be used for the two-way penetration 1225. a photomultiplier tube, a CCD, CID, om processing or post-processing processing conditions, so ===== In the embodiment, the optical monitoring determines the monitoring operation of the system state performed by the ,ίίίϊϊ, which may include To the need to clear the i and / or more! ^ level will exceed the threshold, Darley or stop processing., ', ', 疋 2, according to the decision point to continue to study the material deposited on the surface Light control - above material reflection characteristic spectroscopy (〇es) to monitor the coating - system section and (4) wavelength dry circumference. During processing, material thickness, and can monitor the optical properties of the electrical material When the material is determined to pass a specified threshold, it can be determined. When the optical characteristics are over-continued or the process is stopped, the system is required to be based on the decision, as shown in Figures 2 and 5, heat treatment. The system 210 can further include a temperature controlled substrate holder 270. The substrate support The holder 270 includes a pedestal 272 thermally insulated from the thermal processing chamber 211 by a thermal barrier layer 274. For example, the substrate holder 27 can be fabricated from materials such as aluminum, stainless steel or nickel. The thermal barrier layer 274 can be fabricated from a thermal insulator such as PTFE, TFE, alumina, quartz, etc. The substrate holder 270 can further include a temperature control element 276 to be inserted therein, and connected thereto. A substrate holder is temperature-controlled early 278. The temperature control element 276 can include, for example, a resistive heater element and/or a cooling element. The temperature of the substrate holder 270 can utilize, for example, a thermocouple (e.g., a κ type thermocouple). In addition, in order to control the temperature of the substrate holder 270, the controller 275 can use the temperature measurement to feed back to the substrate holder temperature control unit 278. The system 210 can further include a temperature controlled heat treatment chamber 211 maintained at a selected temperature. For example, the thermal wall control element 283 can be coupled to the hot wall temperature control unit 281 and the thermal wall control element 283 Can be connected to the heat treatment room 2 11. The control element may, for example, comprise a resistive heater element such as tungsten, nichrome, aluminum-iron alloy or aluminum nitride filament. Alternatively, or in addition, a cooling element may be used in the heat treatment chamber 211. The temperature of 211 can be monitored using a temperature sensing device such as a thermocouple (eg, a K-type thermocouple, a platinum sensor, etc.) Further, to control the temperature of the thermal processing chamber 211, the controller 275 can utilize the temperature. The measured values are fed back to the hot wall temperature control unit 281. Referring again to Figure 5, the heat treatment system 21 can further include an X temperature control over component 284 maintained at a selected temperature. For example, an upper component temperature control The components are connected to an upper component temperature control unit 286, and the upper component temperature control component 285 is connectable to the upper member 284. The temperature control element may, for example, comprise a resistive heater element such as tungsten, nichrome, aluminum ferrous alloy or aluminum nitride filament. The temperature of the upper assembly 284 can be monitored using a temperature sensing device such as a thermocouple (e.g., a K-type thermocouple, a platinum sensor, etc.). Additionally, to control the temperature of the upper assembly 284, the controller 275 can utilize the temperature measurements to feed back to the upper assembly temperature control unit 286. The upper assembly 18 1278528 284 may additionally or additionally include a cooling element. The Lintu 2 and 5' heat treatment systems 21G may further include a substrate lift group that drives the system 530. The substrate lifting assembly 290 can be constructed to lower a substrate 242 to the upper surface of the substrate holder 27 and lift a substrate 242" from the upper surface of the substrate holder 2J0 to its _-plane or transfer surface. At the transfer surface, the plate 242" can be exchanged with one for transferring the substrate into and out of the chemical and heat treatment chambers 221, 211. In the support domain, the substrate is 242" while the transfer and chemical and heat treatment are performed between 221 and 211, and the substrate 242" is shown. As shown in FIG. 9T, the substrate lifting assembly 29 can include a The blade 5〇〇, wherein the leaf f^00 has two or more lugs 510, a flange 520 for connecting the substrate lifting assembly 29G to 211, and a drive for allowing the feeding of the blades 500 in the heat treatment chamber System 530. The lug 510 is constructed to secure the substrate 242 at the raised position, and, when in the lower position, is configured to receive the recessed substrate support 27 设置 = set receipt. The drive system 53Q can, for example It is designed to meet the specifications of J-tube stroke length, barrel stroke speed, position accuracy, non-rotation accuracy, etc., and its design is related to the technology of pneumatic drive system design. Further, the protective barrier layer 512 can be disposed on more than one blade 5, and the protective barrier layer 512 can be selected from the same material range as described for the protective barrier layer 241. Made of materials, And it may have the same thickness as described for the protective barrier layer 241. Again, the protective barrier layer 512 may not be needed. Referring again to Figures 2 and 5, the heat treatment system 21G may further include a --empty pump truck hollow pump system 280 may, for example, include a vacuum pump, a control valve of the flow valve, and the vacuum pump may include, for example, a turbomolecular vacuum pump capable of pumping speeds of up to 5000 liters per second (and above). Pu (TMp). τΜρ is quite useful for low processing, typically less than 5 〇 milliTorr. For high, ie, greater than about 100 homes, a mechanical booster and dry low straight gang can be used. Referring again to FIG. 5, the heat treatment system 21G may further include a controller 275 having two micro-processing 1278528, memory and -digit 1/0埠, wherein the 匕ΐ ίίΐ is sufficient to transfer and start to the heat treatment system 210. Input, two monitors 匕is ϊ = ο the output control voltage. In addition, the controller 275 is Ϊ L plate support temperature control unit ', upper component temperature control unit 286, upper ^ control unit 281, vacuum Pu system and the training in the base lifting assembly According to a ship, _ stored in the memory to heat the system 21G in the aforementioned part of the input. A control of 275 cases in the Austin, Texas DELL PRECISION WORKSTATION 610TM 四 (four) commercialization " In an alternate embodiment, controllers 235 and 275 can be the same controller. In one case, FIG. 6 further includes 2212, 2212, an optical observation 1225, at least one pressure sensing device 2216, at least one alignment device 2235, and at least one fixture 2236. A processing system 2210. In addition, in order to identify whether the substrate is located in the support surface, the heat may further include a substrate_system 2217. The substrate detection system can, for example, include a Keyence digital laser sensor. In one case, the processing system 2 shown in Figure 2 can be a chemical oxide removal (9) for trimming an oxide hard mask. The processing system may comprise a chemical treatment 220, which is a silk chemical treatment such as an exposed surface layer of an oxide surface layer on the substrate whereby adsorption of the treatment chemical on the exposed surface affects chemical changes in the surface layer. In addition, the processing system includes a heat treatment system 210 for heat treating the substrate whereby the temperature of the substrate is raised by the release (volatilization) of the chemically exposed surface layer on the substrate. In the chemistry, system 220, the processing space 262 (see Figure 2) is evacuated and a process gas containing f hydrofluoric acid and ammonia is introduced. The treatment pressure can range from about ^ to about 1003⁄4 Torr, or fall within the range of from about 2 to about 25 milliTorr. The gas flow rate may range from about 丨 to about 2QGseem for each gas, or fall within a range of from about 10 to about lion per gas. Although the vacuum pump system 250 illustrated in Figures 2 and 3 is accessed from the side of the chemical processing chamber 221, 20 1278528 is a uniform (three-dimensional) pressure domain. Table 1 illustrates the pressure uniformity of the substrate surface as a function of process pressure and spacing between the gas distribution system 260 and the upper surface of the substrate 242. _ (%) h (pitch) _ pressure 50 mm 62 75 100 200 20 mTorr 0.6 NA NA NA NA 9 NA NA 0.75 0.42 NA 7 3.1 1.6 1.2 NA NA 4 5.9 2.8 NA NA NA 3 NA 3.5 3.1 1.7 0.33 Table 1 Further, the chemical processing chamber 221 can be heated to a temperature ranging from about 3 ° C to about i 〇〇〇 c. For example, the temperature can be about 4 〇〇c. Additionally, the gas distribution system can be heated to from about 40 ° C to about 1 Torr. (: a temperature in the range. For example, the temperature may be about 50. (: The substrate system may be maintained at a temperature ranging from about coffee to about 5 generations. For example, the substrate temperature may be about 2 〇〇c. To about .u ^, the value of the solid door is 举例, the temperature can be about 80 ° C. In addition, the upper component can be heated to a range from about 5 〇〇 c to about 〇 c One said: I is about 8 〇. ° The substrate can be heated to more than about 100: c': from about 1QV °c. The chemical treatment and heat treatment described here, 1 out of thermal oxidation The amount of surface layer produced by the chemical chemistry is 'can be produced for each (10) second of the exposed oxide table, which is about 25 nm, which is more than the chemical treatment of the recorded job! 278528 _^ It is also possible to produce side variables that are greater than about 2.5% of the substrate. It is well known that only the exact description of the invention has been described, but for those involved in the second technology, it may be easy to In this case, there are many corrections in the examples. Therefore, there are such amendments in the hall. Included in the scope of the present invention. 22 1278528 V. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic view showing a processing system according to an embodiment of the present invention; 3A, FIG. 3 is a cross-sectional view showing a chemical processing system according to an embodiment of the present invention, and FIG. 4 is a cross-sectional view showing a chemical processing system according to another embodiment of the present invention; 5 is a schematic cross-sectional view showing a heat treatment system according to an embodiment of the present invention; FIG. 6 is a view showing a birch section of a heat treatment system according to another embodiment of the present invention; and FIG. 7 is a view showing a substrate according to an embodiment of the present invention. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 8A is a cross-sectional view showing a gas distribution system according to another embodiment of the present invention; FIG. 8A is an enlarged view of a gas distribution system shown in FIG. Fig. 9 is a substrate lifting assembly showing an embodiment of the present invention. [Description of Component Symbols] 200 to Processing System 210 to Heat Treatment System 220 to Chemical Processing System 211 to Heat Treatment 221~chemical processing chamber 222~protective barrier layer 230~thermal insulating component 231~insulating component 240,270~temperature controlled substrate support 241~protective barrier layer 242,242',242"~substrate 23 1278528 243~ centering Ring 244~substrate support assembly 245~protective barrier layer 250,280~vacuum pump system 252~vacuum pump 254~gate valve 260,284~upper component 261~protective barrier layer 262~processing space 266~wall temperature control element 268 ~ Wall temperature control unit 276 ~ temperature control element 278 ~ substrate holder temperature control unit 281 ~ hot wall temperature control unit 283 ~ thermal wall control element 290 ~ substrate lifting assembly 294, 298 ~ general opening 296 ~ gate assembly 500 ~ blade 510 ~ lug 512 ~ protective barrier layer 520 ~ flange 530 ~ drive system

Claims (1)

1278528 十、申請專利範圍: 1·一種減少維修的基板處理系統,用來處理一基板,包含: 一化學處理系統,用來化學方式改變位在該基板上的曝露之 表層,其包含一受溫控之化學處理室,該受溫控之化學處理室於 其一内表面的至少一部份上形成一保護屏障層; 一熱處理系統,用來熱處理位在該基板上的化學方式改變過 之表層,其包含一受溫控之熱處理室,該受溫控之熱處理室於其 一内表面的至少一部份上形成一保護屏障層;及 w、 一熱絕緣組件,連接至該熱處理系統與該化學處理系統。 2·如申請專利範圍第1項之減少維修的基板處理祕,其中,該埶 絕緣組件包含有在至少一曝露之表層上的一保護屏障層。 3·如^請專利翻第1項之減少維修的基板處理祕,其中, 庳卢=處理系ί更包含:一受溫控之基板支座,安褒於該化 ni ϋ㉟且f其一曝露表面的至少—部份上形成—保護屏障 ^ιιΐ工幫浦系統,連接至該化學處理室;及—氣體分配板, 〇 s有複數個氣體注人孔’且於該氣體分配板之___曝露表面之至 )-部伤上與各孔洞之-曝露表面之至少—部份上形成有一保護 屏障層,·其中,該氣體分配板係連接至 ^^ 該化學處理室的-受溫控之氣體分配魏;&⑧私入至 理室: 支座’安裝於該熱處 芬一曝路表面的至少一部份上形成-保護屏障層; 及一真二幫浦系統,連接至該熱處理室;且 ^處理系統更包含有-控制錢,連接至該化學處统愈 该熱處理糸統,用以控制以下各項中至少一者:化室二产、、 己系ί溫度、化學處理基板支座溫度、化學工里 ϊ ί?理ϊίίϊΐΓί、化學處理氣體流速、熱處i室溫 度熱處理基板支座>皿度、熱處理基板溫度、熱處理之處理壓力 25 1278528 與熱處理氣體流速。 4·如^料^範圍第!項之減少維修的基板處理系統,其中,位在 該化學處理室之該内表面上的該保護屏障層包含有浸潰過PTFE 及/或TFE的一陽極處理金屬。 =·如^請專^範圍第4項之減少維修的基板處理系統,其中,位在 該化學處理室之該内表面上的該保護屏障層包含有浸潰過PTFE 及/或TFE的一硬質陽極處理金屬。 6·如申請專利範圍第4項之減少維修的基板處理系統,其中,該金 屬包含有鋁與一鋁合金其中至少一者。 7·如申請專利範圍第1項之減少維修的基板處理系統,其中,位在 該化學處理室之該内表面上的該保護屏障層包含Al2〇3、γ2〇3、 Sc203、Sc2F3、YF3、La2〇3、Ce〇2、Eu203 與 Dy〇3 其中至少一者。 8·如申請專利範圍第1項之減少維修的基板處理系統,其中,該化 學處理系統更包含一受溫控之基板支座,於其至少一部份上形成 一保護屏障層,安裝在該化學處理室内之該受溫控之基板支座上 的該保護屏障層包含有利用PTFE及/或TFE浸潰過的一陽極處理 金屬。 9·如申請專利範圍第1項之減少維修的基板處理系統,其中,該 化學處理系統更包含一受溫控之基板支座,於其至少一部份上形 成一保護屏障層,安裝在該化學處理室内之該受溫控之基板支座 上的該保護屏障層包含Α12〇3、Υ2〇3、Sc203、SC&、Yh、U2C)3、 Ce02、Eu203與Dy03其中至少一者。 26 1278528 10.如申請專利範圍第1項之減少維修的基板處理系統,其中,該 化學處理系統更包含有一氣體分配板,包含有複數個氣體注入 孔,且於該氣體分配板之一曝露表面之至少一部份上與各孔洞之 一曝露表面之至少一部份上形成有一保護屏障層;其中,該氣體 分配板係連接至用來將一處理氣體導入至該化學處理室的一受溫 控之氣體分配系統;該氣體分配板上的該保護屏障層與各孔洞上 的該保護屏障層包含有利用PTFE及/或TFE浸潰過的一陽極處理 金屬。 11·如申請專利範圍第1〇項之減少維修的基板處理系統,其中,在 該氣體分配板之該曝露表面上的該保護屏障層與在各孔洞之該曝 露表面上的該保護屏障層包含有利用TFE及/或PTFE浸潰過的一 硬質陽極處理金屬。 12·如申請專利範圍第10項之減少維修的基板處理系統,其中,該 金屬包含有紹與一I呂合金其中至少一者。 13·如申請專利範圍第1項之減少維修的基板處理系統,其中,該 化學處理系統更包含有一氣體分配板,包含有複數個氣體注入 孔,且於該氣體分配板之一曝露表面之至少一部份上與各孔洞之 一曝露表面之至少一部份上形成有一保護屏障層;其中,該氣體 分配板係連接至用來將一處理氣體導入至該化學處理室的一受溫 控之氣體分配系統;在遠氣體分配板之該曝露表面上的該保護屏 障層與在各孔洞之該曝露表面上的該保護屏障層包含有Al2〇3、 Y2O3、Sc203、Sc2F3、YF3、La203、Ce〇2、Eu203 與 Dy〇3 其中至 少一者。 14·如申請專利範圍第1項之減少維修的基板處理系統,其中,在 該受溫控之熱處理室之該内表面上的該保護屏障層包含有利用 27 1278528 PTFE及/或TFE浸潰過的一陽極處理金屬。 明ί,細第14項之減少維修的基板處理系統,复中,兮 TFE ;;™ϊί ΐΓ 及/或PTFEw貝過的—硬質陽極處理金屬。 iUfi利細第14項之齡維修的基板處理系統,其中,該 金屬包含有銘與一銘合金其中至少一者。 〃 圍第1項之減少維修的基板處理系統,其中,位 熱處理室之勒表面上的該保護屏障層包含有 其2中k少i y秘3、祕、讯、⑽3、Ce〇2、與Dy〇3 3·,申,專利範圍第!項之減少維修的基板處理系統,其中,該 二含:一受控之基板支座,安裝於該熱處理室内, 2二:ΐϊίί的至少7部份上形成一保護屏障層;安裝在該 二;二二2内之該受溫控之基板支座的該曝露表面上的該 γ屏μ包έ有_ PTFE及/或TFE浸潰過的—陽極處理金 =·如㈣專利細第丨項之減少維修的基板處_統,直中,該 且ΐϊίΐΐί含··—受溫控之基板支座,安裝於該熱處理室内, ^於”-曝路表面的至少一部份上形成一保護屏障層;安裝在該 室内之該受溫控之基板支座的該曝露表面上的該 =屏早層包含Al2〇3、Y2〇3、Sc2〇3、秘、呢 Eu2〇3與Dy〇3其中至少一者。 20.如申請專利範圍第1項之減少維修的基域理系統,其中,該 28 1278528 之一曝露表面 熱絕緣組件包含有一閘閥組件,其中在該閘閥组 的至少一部份上形成一保護屏障層。 、 21. 如申請專利細第20項之減少維修的基板處理純, 在該閘閥組件之該曝露表面上的該保護屏 手有》用、 及/或TFE浸潰過的-陽極處理金屬。 ^㈣用ME 22. 如申請專利範圍第2〇項之減少維修的基板處理系統, 在該閘閥組件之該曝露表面上的該保護屏障;包人有Μ ^ =者_3、SC2F3、YF3、La2〇3、Ce〇2、二2〇33與心丄、中至 ,其中,該 23.如申請專利範圍第10項之減少維修的基板處理系統 處理氣體包含有一第一氣體與一第二氣體。 如申請專利範圍第23項之減少維修的基板處理系統,其中,兮 氨氣、氫氟酸、氫氣、氧氣、一氧化碳、二氧化X 石反、氬氣、氮氣與氮氣至少其中一者。 如申請專概圍第23項之減少轉的基板處理祕,其中,該 ^二,,包含有氨氣、氫氟酸、氫氣、氧氣、—氧化碳、二氧化 石厌、氩氣、氦氣與氮氣至少其中一者。 2—6·如申請專利範圍第23項之減少維修的基板處理系統,其中,該 複數個孔洞包含有絲將該第—氣體連接雜處理空間的一第一 孔洞陣列,與用來將該第二氣體連接至該處理空間的一第二孔 陣列。 27·如申明專利範圍第丨項之減少維修的基板處理系統,其中,該 29 1278528 用來 ,處理系統更包含有-絲升降組件,連接至該熱處理 在一傳送面與該基板支座間垂直移動該基板。 圍第2!項之減少維修的基板處理系統,其中,該 2耳ιϊί包ί·—葉片’具有用來接收該基板之三個以上之 ^耳j且,、有於其—曝露表面之—部份上所形成之 ^與-驅動系統,絲在該基板與—傳送面之間垂直傳=基 ^申,專利範圍第28項之減少維修的基板處理系統,盆中,位 護轉私対聊e ,二申,專利,圍第μ項之減少維修的基板處理祕 面上的該保護屏障層包含有‘、 少L3者。2 3 °2 3 ' F3 ' U2(>3 ' Ce02、Eu2〇3 與 Dy〇3 其中至 3表1統’絲靴學方狀·在-基板上之曝露 -=溫控之,板支座’安裝於該化學處 -真空幫難統,連接至該化學處理室;以及,’ 細複數個氣體注入孔:該氣體分配板係連 處理㈣導人至該化學處理室的—受溫控之氣體分 位在該化學處 32.如申請專利範圍第31項的化學處理系統,其中, 30 1278528 ί呆護屏障層包含有利用腦及/或TFE浸 内m第32項的化學處理系統,其中,位在該化學處 潰過的^ 口亥保遵屏障層包含有利用TFE&/或PTFE浸 /貝過的一硬質陽極處理金屬。 34·如申請專利範圍第32項的化學盧採糸絲甘士 —人士 鋁與-銘合金其中至少一^予處理糸統,其中,該金屬包含有 35·如申請專利範圍第31項的化學虛王军备#甘士 y 粗& 秘、YF3、La2〇3、Ce〇2、如2〇3與%〇3其中至^者。 峨峨,w板支座具 令/狀八曝路表面之至少—部份上的一保護屏障層。 L7f申ίί!咖第31項的姆處理魏,針,在魏體分配 部份上形成有-』ί障f上與各孔洞之—曝露表面之至少一 3的8ii重熱ίί纽’用綠處理與在—基板上之經化學方式改變 开細’伽其—咖㈣—部份上所 一ί溫f之基板支座,其係安裝在該熱處理室内; 一二、空青浦系統,連接至該熱處理室,·以及, 艾m·控之上組件,連接至該熱處理室。 31 1278528 圍第38項的熱處理系統,其中,位在該熱處理室 的:陽Ϊ處理ϊΐ保護屏障層包含有利用PTFE及/或τ職責過 fj _ ’39項的熱處理系統,其中,位在該熱處理室 的二硬質陽極屏障層包含有利用TFE及/或酬浸潰過 圍第38項的熱處縣統,其巾,位在該熱處理室 VF; - U2〇3,' Μ·種處理室的處理方法,包含如下步驟: 對該處理室之一内表面的至少一部份施以陽極處理; 利用PTFE及/或TFE浸潰該陽極處理表面,藉此產生一保護 屏障層。 321278528 X. Patent Application Range: 1. A substrate processing system for reducing maintenance, for processing a substrate, comprising: a chemical processing system for chemically changing an exposed surface layer on the substrate, comprising a temperature a chemical processing chamber, the temperature-controlled chemical processing chamber forming a protective barrier layer on at least a portion of an inner surface thereof; a heat treatment system for heat-treating a chemically altered surface layer on the substrate The method includes a temperature-controlled heat treatment chamber, the temperature-controlled heat treatment chamber forming a protective barrier layer on at least a portion of an inner surface thereof; and w, a thermal insulation component coupled to the heat treatment system and the Chemical treatment system. 2. The substrate processing technique of claim 1, wherein the insulating component comprises a protective barrier layer on at least one exposed surface layer. 3·If the patent is turned over, the first item is to reduce the maintenance of the substrate processing secret. Among them, the 庳卢=processing system ί further includes: a temperature-controlled substrate support, mounted on the ni ϋ 35 and exposed At least part of the surface is formed - a protective barrier ^ιι 帮 帮 帮 , , , 连接 连接 连接 连接 连接 连接 连接 连接 连接 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体 气体Forming a protective barrier layer on at least a portion of the exposed surface and the exposed surface of each of the holes, wherein the gas distribution plate is connected to the chemical processing chamber - temperature controlled The gas distribution Wei; & 8 private into the treatment room: the support 'installed on the heat part of the surface of at least one part of the exposed surface of the formation of a protective barrier layer; and a true two pump system, connected to the a heat treatment chamber; and the treatment system further includes - control money, connected to the chemical treatment system to control the heat treatment system to control at least one of the following: chemical chamber secondary production, temperature, chemical treatment Substrate support temperature, chemical industry ϊ ί ί ί ί ί, chemistry Treatment gas flow rate, heat i room temperature heat treatment substrate support > dish degree, heat treatment substrate temperature, heat treatment treatment pressure 25 1278528 and heat treatment gas flow rate. 4·如^料^Scope! A reduced substrate processing system wherein the protective barrier layer on the inner surface of the chemical processing chamber comprises an anodized metal impregnated with PTFE and/or TFE. The substrate processing system for reducing maintenance of the fourth item, wherein the protective barrier layer on the inner surface of the chemical processing chamber comprises a hard material impregnated with PTFE and/or TFE Anode treated metal. 6. The substrate processing system of claim 4, wherein the metal comprises at least one of aluminum and an aluminum alloy. 7. The substrate processing system of claim 1, wherein the protective barrier layer on the inner surface of the chemical processing chamber comprises Al2〇3, γ2〇3, Sc203, Sc2F3, YF3, At least one of La2〇3, Ce〇2, Eu203 and Dy〇3. 8. The substrate processing system of claim 1, wherein the chemical processing system further comprises a temperature-controlled substrate support, forming a protective barrier layer on at least a portion thereof, mounted on the substrate The protective barrier layer on the temperature controlled substrate support within the chemical processing chamber contains an anodized metal impregnated with PTFE and/or TFE. 9. The substrate processing system of claim 1, wherein the chemical processing system further comprises a temperature-controlled substrate support, forming a protective barrier layer on at least a portion thereof, mounted on the substrate The protective barrier layer on the temperature-controlled substrate support in the chemical processing chamber comprises at least one of Α12〇3, Υ2〇3, Sc203, SC&, Yh, U2C)3, Ce02, Eu203, and Dy03. The invention relates to a substrate processing system for reducing maintenance according to claim 1, wherein the chemical processing system further comprises a gas distribution plate comprising a plurality of gas injection holes and an exposed surface of the gas distribution plate Forming a protective barrier layer on at least a portion of at least a portion of the exposed surface of each of the holes; wherein the gas distribution plate is coupled to a temperature for introducing a process gas into the chemical processing chamber a controlled gas distribution system; the protective barrier layer on the gas distribution plate and the protective barrier layer on each of the pores comprise an anodized metal impregnated with PTFE and/or TFE. 11. The reduced-repair substrate processing system of claim 1, wherein the protective barrier layer on the exposed surface of the gas distribution plate and the protective barrier layer on the exposed surface of each of the holes comprise There is a hard anodized metal that has been impregnated with TFE and/or PTFE. 12. The substrate processing system for reducing maintenance according to claim 10, wherein the metal comprises at least one of an alloy and an alloy. 13. The substrate processing system of claim 1, wherein the chemical processing system further comprises a gas distribution plate comprising a plurality of gas injection holes and at least one of the exposed surfaces of the gas distribution plate Forming a protective barrier layer on at least a portion of the exposed surface of one of the holes; wherein the gas distribution plate is coupled to a temperature controlled source for introducing a process gas into the chemical processing chamber a gas distribution system; the protective barrier layer on the exposed surface of the remote gas distribution plate and the protective barrier layer on the exposed surface of each of the holes comprising Al2〇3, Y2O3, Sc203, Sc2F3, YF3, La203, Ce 〇 2, Eu203 and Dy〇3 at least one of them. 14. The substrate processing system of claim 1, wherein the protective barrier layer on the inner surface of the temperature controlled heat treatment chamber comprises impregnated with 27 1278528 PTFE and/or TFE An anodized metal. Ming, fine 14th of the reduced substrate processing system, zhongzhong, 兮 TFE;; TMϊί ΐΓ and / or PTFEw - hard anodized metal. iUfi is a 14th age maintenance substrate processing system in which the metal contains at least one of Ming and Yiming alloys. The substrate processing system for reducing maintenance of the first item, wherein the protective barrier layer on the surface of the heat treatment chamber contains 2 of them, i, secret, 3, Ce, 2, and Dy 〇 3 3·, Shen, the scope of patents! The substrate processing system for reducing maintenance, wherein the second comprises: a controlled substrate support, installed in the heat treatment chamber, forming a protective barrier layer on at least 7 portions of the 2: ΐϊίί; mounted on the second; The γ-screen μ package on the exposed surface of the temperature-controlled substrate holder in the second and second portions has _ PTFE and/or TFE impregnated - anodized gold = (4) patent fine item Reducing the substrate of the repair, the center of the substrate, and the temperature-controlled substrate support, installed in the heat treatment chamber, forming a protective barrier layer on at least a portion of the exposed surface The early screen layer on the exposed surface of the temperature-controlled substrate holder installed in the chamber includes Al2〇3, Y2〇3, Sc2〇3, Mi, Eu2〇3 and Dy〇3 at least 20. The method of claim 1, wherein the one of the 28 1278528 exposed surface thermal insulation components comprises a gate valve assembly, wherein at least a portion of the gate valve group is formed a protective barrier layer. 21. Reduction in the application of patent item 20 The repaired substrate is treated purely, and the protective screen on the exposed surface of the gate valve assembly has an -anodized metal and/or TFE-impregnated. ^(d) with ME 22. See Patent Application No. 2 The substrate processing system for reducing maintenance, the protective barrier on the exposed surface of the gate valve assembly; the package has Μ ^ = _3, SC2F3, YF3, La2〇3, Ce〇2, 2〇33 and The hearth, the middle, wherein, the substrate processing system of the reduced maintenance according to claim 10 of the patent application includes a first gas and a second gas. The substrate for maintenance reduction according to the scope of claim 23 The treatment system, wherein at least one of ammonia, hydrofluoric acid, hydrogen, oxygen, carbon monoxide, X-ray oxide, argon gas, nitrogen gas and nitrogen gas is applied to the substrate treatment of the reduction of the 23rd item The secret, wherein the ^2, contains at least one of ammonia, hydrofluoric acid, hydrogen, oxygen, carbon oxide, sulphur dioxide, argon, helium and nitrogen. 2-6. Reduction of the substrate at the 23rd item of the scope The system, wherein the plurality of holes comprise a first array of holes connecting the first gas to the processing space and a second array of holes for connecting the second gas to the processing space. A substrate processing system for reducing maintenance according to the ninth aspect of the invention, wherein the processing system further comprises a wire lifting assembly connected to the heat treatment to vertically move between a conveying surface and the substrate holder. Substrate. A substrate processing system for reducing maintenance of the second item; wherein the 2's are provided with three or more ears for receiving the substrate, and having an exposed surface thereof The ^ and - drive system formed on the part, the wire is vertically transmitted between the substrate and the transfer surface = base, the patent scope of the 28th to reduce the maintenance of the substrate processing system, the basin, the position of the rotation The private barrier talks e, the second application, the patent, and the protective barrier layer on the surface of the substrate treatment that reduces the maintenance of the μ item contains ', L3 less. 2 3 °2 3 ' F3 ' U2 (> 3 ' Ce02, Eu2〇3 and Dy〇3 which are to 3 Table 1 统's silk boots learning form on the substrate - exposure -= temperature control, plate support The seat 'installed in the chemistry department - vacuum assisted system, connected to the chemical processing room; and, 'fine number of gas injection holes: the gas distribution plate is connected to the treatment (four) leads to the chemical treatment room - temperature controlled The gas is classified in the chemical processing unit 32. The chemical treatment system of claim 31, wherein the 30 1278528 ί barrier layer contains a chemical treatment system utilizing the brain and/or TFE immersion m item 32, Among them, the ^Houbao barrier layer at the chemical station contains a hard anodized metal using TFE&/ or PTFE dip/bei. 34. Chemical Lu Cai, as in claim 32 Silkan - a person of aluminum and - Ming alloy at least one of the processing system, wherein the metal contains 35 · as claimed in the scope of the 31st chemical chemistry Wang Jun # #士士 y rough & secret, YF3, La2〇3, Ce〇2, such as 2〇3 and %〇3 which are to ^. 峨峨, w plate support with order / shape eight exposure At least one part of the surface is a protective barrier layer. L7f申ίί! The third item of the treatment of Wei, the needle, is formed on the distribution part of the Wei body - the surface of the hole and the exposed surface At least one of the 3ii reheating ίί's with the green treatment and the chemically changed on the substrate - the gamma-cafe (four) - part of the substrate support, the system is installed in The heat treatment chamber; a second, an empty Qingpu system, connected to the heat treatment chamber, and, an assembly of the above, connected to the heat treatment chamber. 31 1278528 A heat treatment system according to item 38, wherein the heat treatment is located The chamber: the impertain treatment ϊΐ protective barrier layer contains a heat treatment system that utilizes PTFE and/or τ responsibilities over fj _ '39, wherein the two hard anode barrier layers located in the heat treatment chamber contain TFE and/or The heat treatment system of the 38th item, which is in the heat treatment room, is located in the heat treatment chamber VF; - U2〇3, 'the treatment method of the treatment room, including the following steps: one inner surface of the treatment chamber At least a portion of the anode is treated; using PTFE and / TFE dipping the anodized surface, thereby creating a protective barrier layer 32
TW93138909A 2003-03-17 2004-12-15 Reduced maintenance chemical oxide removal (COR) processing system TWI278528B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US45464103P 2003-03-17 2003-03-17
US45464403P 2003-03-17 2003-03-17
US45459703P 2003-03-17 2003-03-17
US45464203P 2003-03-17 2003-03-17
US10/736,991 US20040182315A1 (en) 2003-03-17 2003-12-17 Reduced maintenance chemical oxide removal (COR) processing system

Publications (2)

Publication Number Publication Date
TW200535272A TW200535272A (en) 2005-11-01
TWI278528B true TWI278528B (en) 2007-04-11

Family

ID=32996565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93138909A TWI278528B (en) 2003-03-17 2004-12-15 Reduced maintenance chemical oxide removal (COR) processing system

Country Status (1)

Country Link
TW (1) TWI278528B (en)

Also Published As

Publication number Publication date
TW200535272A (en) 2005-11-01

Similar Documents

Publication Publication Date Title
JP4795935B2 (en) Processing system and method for processing substrates
JP4745958B2 (en) Processing system and method for heat treating a substrate
JP5555743B2 (en) Processing system and method for chemically processing a substrate
KR101374332B1 (en) A chemical oxide removal(cor) processing system and method
JP5100372B2 (en) Processing system and method for processing substrates
JP3892609B2 (en) Hot plate and method for manufacturing semiconductor device
JP2007531306A (en) Method and system for adjusting chemical oxide removal process using partial pressure
TWI407520B (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
JP2010520649A (en) Processing system and method for performing high-throughput non-plasma processing
TW200845222A (en) Temperature measurement and control of wafer support in thermal processing chamber
TW200807560A (en) Substrate processing with rapid temperature gradient control
TWI431709B (en) High throughput thermal treatment system and method of operating
TWI536478B (en) High throughput chemical treatment system and method of operating
KR101569956B1 (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
TWI278528B (en) Reduced maintenance chemical oxide removal (COR) processing system
JP2002367914A (en) Heat treatment device
TW201532112A (en) Plasma processing apparatus, electrostatic chuck, and method of manufacturing electrostatic chuck
TWI259527B (en) Processing system and method for treating a substrate
JPH0620965A (en) Holder for heating under vacuum condition and cvd apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees