TWI263249B - An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions - Google Patents

An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions Download PDF

Info

Publication number
TWI263249B
TWI263249B TW093110790A TW93110790A TWI263249B TW I263249 B TWI263249 B TW I263249B TW 093110790 A TW093110790 A TW 093110790A TW 93110790 A TW93110790 A TW 93110790A TW I263249 B TWI263249 B TW I263249B
Authority
TW
Taiwan
Prior art keywords
doc
ion
ions
energy
boron
Prior art date
Application number
TW093110790A
Other languages
Chinese (zh)
Other versions
TW200520021A (en
Inventor
Thomas N Horsky
Dale C Jacobson
Original Assignee
Semequip Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2003/020197 external-priority patent/WO2004003973A2/en
Application filed by Semequip Inc filed Critical Semequip Inc
Publication of TW200520021A publication Critical patent/TW200520021A/en
Application granted granted Critical
Publication of TWI263249B publication Critical patent/TWI263249B/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

An ion implantation device and a method of manufacturing a semiconductor device is described, wherein ionized boron hydride molecular clusters are implanted to form P-type transistor structures. For example, in the fabrication of complementary metal-oxide semiconductor (CMOS) devices, the clusters are implanted to provide P-type doping for source and drain structures and for polygates; these doping steps are critical to the formation of PMOS transistors. The molecular cluster ions have the chemical form BnHx+ and BnHx-, where 10 <= n <= 100 and 0 <= x <= n+4.

Description

1263249 九、發明說明: 相關申請案交叉參照 本申請案是2003年4月18日申請之美國第60/463,965號臨 時專利申請案,標題為:「離子植入裝置及藉由植入氫化硼 藥離子之半導體製造方法(An Ion Implantation Device and a。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 Ion Implantation Device and a

Method of Semiconductor Manufacturing by the Implantation of Boron Hydride Cluster Ions)」,及 2002年 6月 26 曰申請的 美國專利申明案,標題為·「電子衝擊離子源(Eiectron Impact Ion Source)」之部份接續申請案。 【發明所屬之技術領域】 本發明關於一種半導體製造方法,其中p型摻雜係藉著植 入由離子化氫化硼分子形成的離子束而完成,該等離子具 有mBnHx-之形式,其中 10&lt;n&lt;100且0&lt;χ&lt;η+4。 【先前技術】 離子植入過程 製造半導體裝置有關將雜質導人半導體基板以形成換雜 區。雜質元素經選擇適當地與帛導體材料鍵結以產生電性 載子,因而改變該半導體材料的導電率。該等電性載子可 為電子(由Ν型摻雜劑產生)或者電洞(由ρ型摻雜劑產生)。由 此導入的摻雜劑雜質之濃度決定該產生區域的導電率。必 須產生許多此類_ρ類型雜質區以形成電晶體結構、隔離 結構與其他此類電子結構,其功能統㈣—半㈣㈣。 知將摻雜密丨丨\ ^^ 一丰V體基板之方法是藉由離子植 入。在離子植人t,含有需求元素的饋人材料被導入一離 92045.doc 1263249 子源,且忐5被導入以離子化被饋入之材料,產生含有摻 雜元素的離子(例如在石夕中,元素75As、”P與⑶⑽捐出者 或扣雜剤,而UB與115In係接受者或P型摻雜劑)。提供 刪場以萃取且加速該等典型充正電離子,目而產生二 離子束(在某些情況中,可使用充負電之離子取代)。接著, 使用如先刚技術中已知之質量分析以選擇植人之種類,且 紅貝里刀析的離子束可持續地通過離子光學系統,以便在 被導入半導體基板或工件前改變其最後速度或其空間分 佈。该,被加速的離子擁有一明確界定之動能,其允許該 電r在每犯里值穿入該目標至一明確界定之預定深度。 ㈣子的⑻里與f量決定穿人目標的深度,具有較高量及/ 或車乂低貝里的離子因為其較大的速度而允許更深地穿入目 払離子植入系統經配置以仔細地控制在植入過程中之關 鍵性變數,諸如離子能量、離子質量、離子束電流(每單位 日守間的電荷),及在目標處的離子劑量(每單位面積離子的總 婁)再者也必須控制該束之發散角(離子撞擊基板時之角 度蜒異)與該束的空間均勻性與範圍,以維持半導體裝置良 半導體製造的-關鍵製程是在半導體基板中產生Μ接 面。此需要P型與N型摻雜之鄰近區的形成。形成此一接面 的重要實例是植人P型摻雜劑到已含有均㈣型摻雜劑分佈 之丰導體區内。在此情況τ,—重要的參數是接面深度, 其被:定為離Ρ型與Ν型摻雜劑具有同等濃度處之半導體表 面之洙度。此接面深度是植入摻雜劑質量、能量與劑量的 92045.doc 1263249 一函數。 /見代半導體技術的—特點係對更小型與更快速裝置的持 績决進。此過程稱為微縮(scaling)。微縮係冑由在微影㈣ 製程方法上持續地進步所驅使’允許在含有積體電路的半 導體基板中愈來愈小之特徵界^。―大體上可接受的微縮 理論已被發展出,卩導引晶片製造商同時在所有半導體裝 置設計方面適當地重新訂定大小,即在各技術或微縮節點 上。微縮在離子植入過程上之最大衝擊係接面深度的微 縮’當尺寸減少時其需要越來越淺的接面。越來越淺之接 面的要求對積體電路技術尺寸而言會轉換成以下的需要: 在各微縮步驟均須減少離子植入能量。現代之次微米 裝置需求的該等極端淺的接面係稱為「超淺接面」或 在低能量束上傳輸之實際限制 由於在CMOS處理中接面深度的積極微縮,用於許多關鍵 性植入所需的離子能量已減少到使習知離子植入系統(原 來係發展來產生非常高能量束),傳遞減少許多之離子電流 到晶圓,而減少了晶圓的產能。習知離子植入系統在低離 子束旎置上之限制,在從離子源萃取離子中與其後續傳送 通過植入機之離子束線時更為明顯。離子萃取係由 Child_Langmuir關係式主控,其說明了萃取的束電流密度是 與萃取電壓的3/2次方成正比(即,在萃取時的束能量)。圖二 是最大萃取硼束電流相對於萃取電壓的圖表。為求簡化, 已假定在萃取之離子束中只有hB +離子存在。圖2顯示能量 減少,萃取電流下降得快速。在習知離子植入機中,「萃取 92045.doc 1263249 限制」操作之方法可在能1少於約1 〇 kev時看見。類似之 束制影響卒取後低能量束的運輸。一個低能量離子束以更 小的速度移行,因此對於一特定之束電流值,該電漿會更 緊罪一起,即離子密度增加。此可從關係式J=^ev中看出, 其中J是以mA/cm2為單位之離子束電流密度,7是以離子/ 每立方公分為單位之離子密度,e是電荷卜6 ^庫 侖),且v是以公分/秒為單位之平均離子速度。此外,因為 離子間的靜電力係與其間距離平方成反比,靜電排斥力在 低能量狀態係更強烈,導致離子束的更加分散。此現象稱 為束爆發」,且係在低能量傳輸時束損失的主要原因。儘 官出現在植入機離子束線中之低能量電子易於被正電荷離 子束捕捉,彌補在傳輸期間之空間電荷爆發,《而爆發仍 然兔生’且在靜電聚焦點透鏡出現時最明顯其易於將鬆 鍵結、高度機動的補償電子剝離離子束。特別A,嚴重地 卒取與運輸困難性存在於輕離子,諸如p型摻雜劑硼,其質 ϊ僅11 amu。由於較輕,爛原子比其他原子更進—步地穿 入=基板内,因此硼需要的植入能量比其他植入種類低。 ^實士’某些前緣USJ製程需要少於i keV之極低植入能 量。實際上,大多數從典型BF3來源電聚提取與傳輸的離子 不是需求的離子&quot;B、而是如與49BF2+之離子碎片;這 些用以增加被萃取離子之電荷密度與平均質量,進一步增 加空間電荷爆發。對於—特定的束能量,增加質量導致一 更=的束空間電荷特性;因為較重的離子移動較慢,對一 特定束電流增加離子密度&quot;,依據上面的討論會增加空間電 92045.doc 1263249 荷效應。 分子離子植入 克服由上述CMM-Langm*關係式強加之限制的方式,是 藉由離子化-含有⑽之摻雜劑的分子以增加摻雜劑離子 的傳輸能量,而非使用單一摻雜劑原+。以此方式,雖缺 在傳送時該分子之純較高,在進人基板時,此分子*** =其構成的原子’在其間之原子依照其在f量上之分佈^ 享分子之能量,因此摻雜劑原子之植人能量係遠低於該分 子離子最初的傳送動能。考慮摻雜劑原子「X」鍵結至一基 % (為討論之目的,暫不Μ否「γ」會影響裝置形成之 製程)。如果在Χ+處植入離子χγ+,則χγ+必須以一高能量 十取與傳送,增加之倍數等於χγ的質量除以X的質量;此 確保X之速度在任一情況中均相同。因為由上述之 Child-Langmuir關係式所描述之空間電荷效應係與離子能 量成超線性,最大可傳輸離子電流會增加。由經驗得知, 使用多原子之分子於改善低能量植入問題係在此項技術為 人已知。一普通實例為使用BF,於植入低能量硼於B+處。 此製程游離BF3饋入氣體成為用於植入之Bp/。依此方式, 離子質量增加到49 AMU,允許萃取與傳輸能量比使用單一 硼原子增加4倍多(即,49/11)。然而,在植入時,硼能量被 減少相同的(49/11)倍。值得注意的是此方法不須減少在束 中的電流密度,因為在該束中每單位電荷只有一硼原子。 此外’此製程也將氟原子連同硼植入於半導體基板中,因 為已知敗對半導體裝置呈現負效果,因此是此技術中不符 92045.doc -10- 1263249 合需求的特徵。 簇植入 原則上,比上述藉由XY+模型增加一劑量率更有效的方 法係植入摻雜劑原子之簇,意即,XnYm+型式之分子離子, 其中11與1!1是整數且丨大。近來,使用十硼烷作為一用於 離子植入之饋入材料已成為具發展性的工作。植入微粒是 十硼烷分子(Β^Ημ)的一正離子,其含有1〇個硼原子且係因 此為一「簇」硼原子。此技術不僅增進離子質量及因此該 傳輸離子能量,但對於一特定的離子電流,其實質上增加 了植入劑量率,因為十硼烷離子BigH/具有1〇個硼原子。 重要的是,藉由明顯地減少在離子束中承載之電流(在十硼 烧離子If况下為1 〇之倍數),不僅是束之空間電荷效應減 少、增進束傳輸,而且晶圓充電效應也減少。因為正離子 轟擊係已知會經由晶圓充電而減少裝置良率,特別是會損 壞敏感閘極絕緣,此經由使用簇離子束的電流減少,就USJ 裝置製造而言很具有吸引力,其必須逐漸地容納更薄的閘 極氧化物與特別低的閘極臨限電壓。因此,在面對今曰半 導體製造工業的兩個不同問題時,有一關鍵點待解決:在 低能量離子植入時之晶圓充電與低生產力。如將在下文中 顯示,本發明預期進一步藉由使用具有η&gt;1〇之明顯較大的 氫化硼簇增加簇植入的優勢。特別是,將使用固體饋入材 料十八硼烷或ΒΐδΗ22,植入Bi8Hx+離子且進一步植入 離子。本發明將呈現此技術係比先前在硼簇植入中之努力 更明顯先進的第一結果。 92045.doc 1263249 離子植入系統 有史以來離子植入機已被分成三個基本種類:高電流、 中電流與高能量植入機。簇束對高電流與中電流植入製程 十为有用。特別是今日的高電流植入機主要用以形成電晶 體的低能量、高劑量區,諸如汲極結構及多晶矽閘極的摻 雜。其等通常是批次植入機,即處理安裝在一旋轉盤上的 终多晶圓,離子束則保持靜止。高電流傳輸系統傾向於比 中型電流傳輸系統更為簡單且併入離子束的大型容納件。 在低能量與與高電流狀態,先前技術植入機在基板處生產 較大發散角的一離子束(如,達七度的半角)。相反地,中電 机通节納入串列式(一次一晶圓)的處理室,其提供一高傾斜 能力(如,離基板法線達60度)。該離子束通常係在一高頻率 (门達、力2千赫餘)下在一尺寸方向(如,橫向)電磁地或電 動地掃過晶圓,且在以不到丨赫茲之低頻率下在正交方向 (如,垂直地)機械性掃描,俾獲得面積覆蓋率且在基板上提 供劑量均句性。中電流植人機之製程需求比高電流植入機 較複雜。為了符合典型商業植入機在劑量均勻性與重複性 上僅容許少許百分比變化的要求,離子束必須具有極佳的 角度與空間均勻性(例如,在晶圓上之離子束的角均句性d 度)。因為此等要求,中電流離子束線係被設計以在花費減 少下提供優異的離子束。意即,㈣植入機之離子束的傳 輸效率係受離子束的發射所限制。現今,在低(小於1〇“力 能量產生較高電流(約丨毫安培)離子束對串列式植入機仍有 問題,某些低能量植入機之晶圓產能低至不可接受(例如, 92045.doc -12- 1263249 在前緣CMOS過程中產生源極與汲極結構)。類似傳輸問題 也存在於 &lt;每離子5 keV之低離子束能量批次植入機(處理 安裝在一旋轉盤上之許多晶圓)。 雖然幾乎可以極自由的設計離子束傳輸光學系統,然而 該離子束特性(空間範圍、空間均勻性、角度發散與角度均 句I·生)主要係由離子源本身的發射特性決定(即在離子萃取 處之離子束特性,其決定從離子源發射時植入機光學系統 能聚焦且控制該離子束之程度)。使用簇離子束而非單體離 子束能藉由提升束傳輸能量及減少由該束承載之電流,明 顯地增強離子束的發射。然而,用於離子植人的先前技術 離子源在生產或維持需求之_ p型摻雜劑的離子化竊上並 不有效。因此’需求用於簇離子與鎮離子源之技術,以提 供在目標上一聚焦較佳,較易準直且更嚴密控制之離子 束,且此外用以提供在半導體製造中更高度有效之劑量率 =半導體摻雜之離子束線離子植人的—替代性方式是 斤月電水/又入」。此技術由係以其他數個名稱出現於半導 體產業中,例如PLAD( «摻雜)、PPLAD(脈衝式電漿摻雜) 及PI (電聚浸人式離子植人)。使用此等技術之摻雜需要在 一被排空而後回填一合右换Μ ⑼具3有摻雜劑之大型真空容器 電聚,該摻雜劑之選擇諸如三 氣。此技術中以之電漿中具有正離子、㈣+ =著=偏壓,因此造成在電浆中之正離子被加速朝 離子的能量係由等式㈣v加以描述,其中⑽離 92045.doc 1263249 子的動能,Q是離子上電荷,且讀晶圓上的偏壓。此技術 無質量分析。在電漿中的所有正離子被加速且植入晶圓 中。因此,必須能產生極為乾淨的電漿。以此推雜技術形 成了二硼烷、磷化氫或砷氣體的電漿,跟著應用一負電壓 2晶圓上。偏壓可以是固定、隨時間變化或者脈衝式。劑 I可以是由認知容器中蒸汽壓力、溫度、偏壓大小與偏壓 負載週期及在目標上之離子到達率間的關係後並行控制。 也可能直接測量纟目標丨的電流。雖然電襞摻雜係被視為 一發展中之新技術,其具有吸引力是因為其具有減少施行 低能量、高劑量植入的每一晶圓費用之潛力(特別在如3〇〇 耄米大型晶圓時)。一般而言,此一系統的晶圓產能受限於 晶圓處理時間,其包括在每一次晶圓或晶圓批次被載入處 理室時,須排空處理室且清洗與再導入製程氣體。此要求 將電漿摻雜系統的產能減少成每小時約1〇〇晶圓片 (WPH),遠低於離子束線離子植入系統的最大機械處理能 力(其可處理超過200 WPH)。 負離子植入 隶近已w知負離子植入(參見如Junzo Ishikawa等所著「負 離子植入技術(Negative-Ion Implantation Technique)」,Method of Semiconductor Manufacturing by the Implantation of Boron Hydride Cluster Ions)", and the US patent application filed on June 26, 2002, titled "Eiectron Impact Ion Source" . TECHNICAL FIELD The present invention relates to a semiconductor manufacturing method in which p-type doping is performed by implanting an ion beam formed of ionized boron hydride molecules having a form of mBnHx-, wherein 10 &lt;n&lt; 100 and 0 &lt; χ &lt; η + 4. [Prior Art] Ion Implantation Process A semiconductor device is fabricated in which impurities are introduced into a semiconductor substrate to form a replacement region. The impurity element is selected to be suitably bonded to the ruthenium conductor material to produce an electrical carrier, thereby altering the conductivity of the semiconductor material. The isoelectric carriers can be electrons (produced by erbium dopants) or holes (produced by p-type dopants). The concentration of dopant impurities introduced therefrom determines the conductivity of the generated region. Many such _ρ type impurity regions must be produced to form a transistor structure, an isolation structure, and other such electronic structures, with a functional system (4) - half (four) (d). The method of doping a dense V-body substrate is known to be implanted by ions. In ion implantation, a donor material containing a desired element is introduced into a sub-source of 92045.doc 1263249, and 忐5 is introduced to ionize the material to be fed, resulting in ions containing doping elements (eg, in Shi Xi Medium, element 75As, "P and (3) (10) donor or deuterium, and UB and 115In are recipients or P-type dopants.) Depletion is provided to extract and accelerate the typical positively charged ions, resulting in A dichroic beam (in some cases, may be replaced by a negatively charged ion). Next, a mass analysis as known in the prior art is used to select the species to be implanted, and the ion beam of the red Berry is continuously sustainable. Passing an ion optics system to change its final velocity or its spatial distribution before being introduced into a semiconductor substrate or workpiece. The accelerated ions possess a well-defined kinetic energy that allows the electrical r to penetrate the target at each sin value To a clearly defined predetermined depth. (4) The amount of (8) and f in the sub-determination determines the depth of the target, and the ions with a higher amount and/or lower bergere allow deeper penetration into the mesh because of their greater speed. Helium ion implantation system The configuration is carefully controlled to control key variables during implantation, such as ion energy, ion mass, ion beam current (intercharge per unit day), and ion dose at the target (ion per unit area) In addition, it is necessary to control the divergence angle of the beam (the angle at which the ions strike the substrate is different) and the spatial uniformity and range of the beam to maintain the semiconductor device. The key process is to produce in the semiconductor substrate. Μ junction. This requires the formation of adjacent regions of P-type and N-type doping. An important example of the formation of this junction is implanted P-type dopant into the rich conductor region already containing the distribution of the tetra-type dopant. In this case τ, the important parameter is the junction depth, which is defined as the temperature of the semiconductor surface at the same concentration as the erbium type and erbium type dopant. This junction depth is implanted with dopants. Quality, Energy and Dosage 92045.doc 1263249 A function. / See the generation of semiconductor technology - the characteristics of the smaller and faster devices. This process is called scaling. The micro-system is based on micro Shadow (four) system The continuous advancement of the method has driven 'a feature that is allowed to become smaller and smaller in semiconductor substrates containing integrated circuits. ^The generally accepted theory of miniaturization has been developed to guide wafer manufacturers at the same time in all semiconductors. The device design is appropriately resized, i.e., at various techniques or miniature nodes. Minimizing the minimization of the depth of the interface surface during the ion implantation process. 'When the size is reduced, it requires a shallower junction. The need for increasingly shallow junctions translates to the following requirements for integrated circuit technology dimensions: The ion implantation energy must be reduced in each miniaturization step. These extremely shallow junctions are required by modern submicron devices. The practical limitations of what is called "ultra-shallow junction" or transmission on low-energy beams. Due to the aggressive miniaturization of junction depth in CMOS processing, the ion energy required for many critical implants has been reduced to conventional ions. The implant system (which was originally developed to produce very high energy beams) delivers much less ion current to the wafer, reducing wafer throughput. The limitations of conventional ion implantation systems on low ion beam placement are more pronounced in the extraction of ions from the ion source and their subsequent transport through the ion beam of the implanter. The ion extraction is dominated by the Child_Langmuir relationship, which illustrates that the extracted beam current density is proportional to the 3/2 power of the extraction voltage (i.e., the beam energy at the time of extraction). Figure 2 is a graph of the maximum extracted boron beam current versus the extracted voltage. For the sake of simplicity, it has been assumed that only hB + ions are present in the extracted ion beam. Figure 2 shows that the energy is reduced and the extraction current drops rapidly. In conventional ion implanters, the method of "extracting 92045.doc 1263249 limits" can be seen when energy is less than about 1 〇 kev. A similar bundle affects the transport of low energy beams after stroke. A low energy ion beam travels at a lower velocity, so for a particular beam current value, the plasma will be more closely sinned, i.e., the ion density increases. This can be seen from the relation J=^ev, where J is the ion beam current density in mA/cm2, 7 is the ion density per unit of cubic centimeters, and e is the charge b 6 ^ coulomb) And v is the average ion velocity in centimeters per second. In addition, because the electrostatic force between ions is inversely proportional to the square of the distance between them, the electrostatic repulsion force is more intense in the low energy state, resulting in a more dispersed ion beam. This phenomenon is called a beam burst and is the main cause of beam loss during low energy transmission. The low-energy electrons that appear in the ion beam of the implanter are easily captured by the positively charged ion beam, making up for the space charge burst during transmission, and the burst is still rabbit-like and most obvious when the electrostatic focus lens appears. Easy to loosen, highly maneuverable compensating electrons to strip the ion beam. In particular, severe stroke and transport difficulties exist in light ions, such as the p-type dopant boron, which has a mass of only 11 amu. Because of the lighter weight, rotten atoms penetrate into the substrate more than other atoms, so boron requires less implant energy than other implant types. ^Shenshi's certain leading edge USJ processes require very low implant energies of less than i keV. In fact, most of the ions extracted and transported from the typical BF3 source are not the required ions &quot;B, but ion fragments like 49BF2+; these are used to increase the charge density and average quality of the extracted ions, further increasing the space. The charge broke out. For a particular beam energy, increasing the mass results in a more = beam space charge characteristic; because heavier ions move slower, increasing the ion density for a particular beam current, according to the discussion above, will increase the space power 92045.doc 1263249 Charge effect. Molecular ion implantation overcomes the limitation imposed by the above CMM-Langm* relationship by ionizing the molecules containing the dopant of (10) to increase the transport energy of the dopant ions instead of using a single dopant. Original +. In this way, although the purity of the molecule is high at the time of transport, when the substrate is introduced, the molecular split = the atom of its constituent 'between the atoms in the amount of f The implanted energy system of the dopant atoms is much lower than the initial transfer kinetic energy of the molecular ions. Consider the dopant atom "X" bonding to a basal % (for the purposes of this discussion, whether or not "γ" will affect the device formation process). If ion χγ+ is implanted at Χ+, then χγ+ must be transmitted with a high energy, and the multiple is equal to the mass of χγ divided by the mass of X; this ensures that the speed of X is the same in either case. Since the space charge effect system described by the Child-Langmuir relation described above is superlinear with the ion energy, the maximum transportable ion current increases. It is known from experience that the use of polyatomic molecules to improve low energy implantation problems is known in the art. A common example is the use of BF for implanting low energy boron at B+. This process free BF3 feed gas becomes Bp/ for implantation. In this way, the ion mass is increased to 49 AMU, allowing extraction and transfer energy to be more than four times greater than using a single boron atom (ie, 49/11). However, at the time of implantation, the boron energy is reduced by the same (49/11) times. It is worth noting that this method does not require a reduction in the current density in the beam because there is only one boron atom per unit charge in the beam. In addition, this process also implants fluorine atoms together with boron in the semiconductor substrate, since it is known that the negative effect on the semiconductor device is a feature that does not meet the requirements of 92045.doc -10- 1263249. In principle, cluster implantation is more efficient than adding a dose rate by the XY+ model. The cluster of dopant atoms is implanted, that is, the molecular ion of the XnYm+ type, where 11 and 1!1 are integers and large. . Recently, the use of decaborane as a feed material for ion implantation has become a developmental work. The implanted microparticle is a positive ion of a decaborane molecule (Β^Ημ) which contains one boron atom and is therefore a "cluster" of boron atoms. This technique not only enhances the ion mass and hence the ion energy, but for a particular ion current it substantially increases the implant dose rate because the decaborane ion BigH/ has one boron atom. Importantly, by significantly reducing the current carried in the ion beam (a multiple of 1 在 in the case of a borax ion), not only is the space charge effect of the beam reduced, beam propagation is enhanced, and wafer charging effects are achieved. Also reduced. Because positive ion bombardment is known to reduce device yield through wafer charging, particularly damaging sensitive gate insulation, this reduction in current through the use of cluster ion beams is attractive for USJ device manufacturing and must be gradually The ground accommodates a thinner gate oxide with a particularly low gate threshold voltage. Therefore, there are a key point to be addressed in the face of two different problems in today's semiconductor manufacturing industry: wafer charging and low productivity in low energy ion implantation. As will be shown hereinafter, the present invention contemplates further advantages of increased cluster implantation by using significantly larger boron hydride clusters having η &gt; In particular, the solid feed material octadecane or ΒΐδΗ22 will be used to implant Bi8Hx+ ions and further implant ions. The present invention will present the first result that this technology is significantly more advanced than previous efforts in boron cluster implantation. 92045.doc 1263249 Ion Implantation Systems Ion implanters have been divided into three basic categories: high current, medium current and high energy implanters. Clusters are useful for high current and medium current implant processes. In particular, today's high current implanters are primarily used to form low energy, high dose regions of the transistor, such as the doping of the drain and the doping of the polysilicon gate. It is usually a batch implanter that processes the final multi-wafer mounted on a rotating disk and the ion beam remains stationary. High current transmission systems tend to be simpler than medium current delivery systems and incorporate large containment of ion beams. In low energy and high current states, prior art implanters produce an ion beam at a larger divergence angle at the substrate (e.g., a half angle of seven degrees). Conversely, the central motor section incorporates a tandem (one wafer per wafer) processing chamber that provides a high tilt capability (e.g., 60 degrees from the substrate normal). The ion beam is typically electromagnetically or electrically swept across the wafer in a dimension (eg, lateral) at a high frequency (Mengda, force 2 kHz), and at a low frequency of less than Hz Mechanical scanning in orthogonal directions (eg, perpendicular), 面积 obtains area coverage and provides dose uniformity on the substrate. The process requirements for medium current implanters are more complex than for high current implanters. In order to meet the requirements of a typical commercial implanter that allows only a small percentage change in dose uniformity and repeatability, the ion beam must have excellent angular and spatial uniformity (eg, angular uniformity of the ion beam on the wafer) d degree). Because of these requirements, the medium current ion beamline is designed to provide an excellent ion beam at a reduced cost. That is, (4) the efficiency of the ion beam of the implanter is limited by the emission of the ion beam. Today, at low (less than 1 〇 "force energy produces higher current (about mA amps) ion beam is still problematic for tandem implanters, and wafer throughput at some low energy implanters is unacceptably low ( For example, 92045.doc -12-1263249 produces source and drain structures in the leading edge CMOS process. Similar transmission problems are also present in the &lt;5 keV low ion beam energy batch implanter per process (process installed in a number of wafers on a rotating disk.) Although the ion beam transmission optical system can be designed almost freely, the ion beam characteristics (spatial range, spatial uniformity, angular divergence, and angular symmetry) are mainly The emission characteristics of the source itself (ie, the ion beam characteristics at the ion extraction, which determines the extent to which the implanter's optical system can focus and control the ion beam as it is emitted from the ion source). Using a cluster ion beam instead of a single ion beam The emission of the ion beam can be significantly enhanced by increasing the beam transfer energy and reducing the current carried by the beam. However, prior art ion sources for ion implantation are producing or maintaining demand. The ionization of the dopants is not effective. Therefore, the technology for cluster ions and town ion sources is required to provide a better focused, more collimated and tightly controlled ion beam on the target, and In order to provide a more highly effective dose rate in semiconductor fabrication = semiconductor doping ion beam ion implantation - an alternative way is to charge the moon water / re-entry. This technology appears in the semiconductor by several other names In the industry, for example, PLAD («Doping), PPLAD (Pulse Plasma Doping), and PI (Electrical Poly-Immersion Human Ion Implantation). Doping with such techniques needs to be backfilled after being emptied. Right-handling (9) Electrochemical polymerization of a large vacuum vessel with 3 dopants, such as three gases. In this technique, the plasma has positive ions, (4) + = = bias, thus causing The energy of the positive ions in the plasma accelerated toward the ions is described by the equation (4) v, where (10) is the kinetic energy of 92545.doc 1263249, Q is the charge on the ion, and the bias on the wafer is read. This technique has no mass. Analysis. All positive ions in the plasma are accelerated and Into the wafer. Therefore, it must be able to produce extremely clean plasma. This technique is used to form a plasma of diborane, phosphine or arsenic gas, followed by application of a negative voltage on the 2 wafer. It is fixed, time-varying or pulsed. Agent I can be controlled in parallel by the relationship between vapor pressure, temperature, bias voltage and bias load period and ion arrival rate on the target in the cognitive container. The current of the target 。. Although the cesium doping is considered a new technology in development, it is attractive because it has the potential to reduce the cost per wafer for low-energy, high-dose implants (especially For example, when a large wafer is 3 mils.) In general, the wafer throughput of this system is limited by the wafer processing time, which is included when each wafer or wafer batch is loaded into the processing chamber. The process chamber must be drained and the process gas purged and re-introduced. This requirement reduces the capacity of the plasma doping system to approximately 1 〇〇 wafer per hour (WPH), which is much lower than the maximum mechanical processing capacity of the ion beam ion implantation system (which can handle more than 200 WPH). Negative ion implantation is known as negative ion implantation (see, for example, "Negative-Ion Implantation Technique" by Junzo Ishikawa et al.

Nuclear Instruments and Methods in Physics Research B 96’ 1995年7-12月)提供超過正離子植入之優勢。負離子植 入的一極重要優點在於能減少在CMOS製造中之超大型積 體電路裝置因離子植入感生的表面充電。一般而言,正離 子的高電流(在1毫安培或以上之等級)植入在半導體裝置之 92045.doc 14- 1263249 間極,化物與其他組件上,會產生容易超過閑極氧化物損 。限疋值#丨電位。當-正離子衝擊-半導體裝置表面 時,其不僅置放-淨正電荷,且同時釋放次要電子,使充 電效應倍增。因此,雜4/ 、 離子植入糸統的設備供應商已發展了 複雜的電何L制4置(所謂電子溢流槍),以在植人過程將低 能量電子導入正電荷離子束中且到裝置晶圓的表面上。此 電子溢流系統將額外之變數引入製程中,I由於表面充電 而無法完全消除良率之損失。隨著半導體裝置變得越來 小、’電晶體操作電慶與閘極氧化物厚度同樣變得較小,在 半導體裝置製造中減低損壞限定值進一步減少良率。因 此,負離子值可能為許多前緣製程提供超越習知正離子植 的實貝良率改進上。不幸的是,此技術還未可用於產業 中’並且確實負離子植入在本發明人作家之認知中尚未被 用來製造積體電路,甚至在研究與發展上。 【發明内容】 本發明的一目的是提供一製造半導體裝置的方法,此方 法能在—半導體基板中產生p型(即接受者)導電率超淺雜 質摻雜區,且進一步以高生產力進行。 本發明另一目的係提供一製造半導體裝置的方法,此方 法能夠使用BnH/與 ΒηΗχ·(其中丨〇负4〇〇且〇沒途+4)形式之 離子化簇,在一半導體基板中產生卩型(即接受者)導電率超 淺雜質摻雜區。 本务明的-進一步目的提供一製造半導體裝置的方法, 係藉由植人Β18ΗΧ+或B18Hxm十八石朋烧(Βΐ8Η22)離子化 92045.doc 15 1263249 刀子(其中X係少於或等於22之整數)。 、本發明的又進-步目的係提供—離子植人系、、統用於製造 半¥體裝置,其經設計以在一半導體基板中經由使用襄離 子’形成Ν或Ρ導電率型超淺雜質摻雜區。 依照本發明的-特點,提供植入簇之方法包含下列步 驟:提供各含有複數個摻雜原子的一分子進到一離子化 將攻待刀子離子化成摻雜劑簇離子、以一電場萃取且 速°亥摻雜劑簇離子、藉由質量分析選擇需求之簇離子 2修改通過後分析離子光學系統之蔟離子的最後植入能 1,及將該等摻雜劑簇植入一半導體基板。 =發明的-目的是提供—财法,以允料導體裝置製 &amp;商:由植入-黯摻雜劑原子(在ΒιΑ+時η=ΐ8)而非一次 植入一單一原子,而改善萃取低能量離子束中之困難“亥 族離^植入方法提供與極低能量單原子植入之相同方式: Ζ為該簇之各原子係以一近似Ε/η的能量植入。因此,植入 機是在一比需要植入能量高η倍之萃取電麼下操作,盆導致 較高離子束電流’特別是在USJ形成所需之低植入能量。此 外,各毫安培之簇電流均提供單體石朋㈣的18毫安择。考 =在離子萃取階段,由簇離子植入在傳輸效率十的㈣改 可猎由評估Child_Langmuim限而加以量化。應 限可約為: (1) Jmax=1.72(Q/A)1/2V3/2d-2&gt; 其中4“係以mA/cm2為單位,q係離子電荷狀態,A是以 AMU為單位離子f量,v係以千伏為單位的萃取職,疋且」 92045.doc -16- 1263249 以公分為單位之間隙。圖2係方程式(1)中&quot;B+具有扣1以公 分的圖表,其中被萃取的束之平均質量係假設為15八則。 實際上,由許多離子植入機使用的萃取光學系、統均能接近 此㈣。藉由方程式⑴之延伸,下列數字(△)可被界定以量 化族離子植入與單體植入相t匕了,在產能或植入劑量中 之增加。 在此,△係在劑量率(原子/秒)之相對改進,係在與以能 量υΐ(ΓΓUi=eV)對質量mi之原子的單原子植入相比下,藉 由以月匕里队植人-具有有意植人之摻雜劑原子而達 成。在其切„經調整以提供與單原子㈣)之相同摻雜劑植 入深度情況下’方程式(2)會減少至: (3) 0 因此-η個摻雜劑原子蔟的植人具有提供比單—原子之 ,知植入更高η2倍劑量的潛力。在使㈣成的情況下該 :大劑量率改進係超過3〇〇。使用箱離子於離子植入明顯地 :=低能量(特別是次kev)離子束之傳送。秦當注意的是 =植人製程每—簇僅需要―電冑,而非如習知情況 =一摻雜劑原子承載—電荷。傳送效率(束傳輸)因此得以 文進 因為隨電荷來疮AA I π l Λ , 在度的減少而減少了分散庫侖力。重要 的疋,此特徵致能減φ曰 照射至晶圓上之電子:因為對一給定的劑量率, ®之電子束電流急劇地減少。同#,因為本發 量之氣化,負離子(諸如㈣,其使得在高劑量 率之負離子植入能夠商業化。因為,負離子植入比正離子 -17- 1263249 植入產生較少的晶圓充電,1因為經由簇的使用也大量減 少此等電流’能進一步減少由於晶圓充電造成之良率損 失。因此,用n摻雜劑原子 作剞屌于之族而非以單一原子,能改善在 低能量離子植入中之基木偯 +傅运問喊,且能有一明顯更具生 產力的方法。 使此方法可行需要族離子的形成。使用於市面上離子植 入機產叩巾之先前技術離子源相對於其產生之單體,僅產 ^小刀主要的低階(如,η==2)箱,且因而此等植入機不 月b有效地實現上列低能量簇束植入之優勢。的確,由許多 :知離子源提供的密集電漿反而是將分子及簇游離成其成 分π素。在此描述的新穎離子源由於其使用—「軟性」離 子化製程(稱為電子衝擊離子化)而產生大量蔟離子。本發明 之離子Κ特別设計用於產生與保持摻雜劑蔟離子。與衝 擊-電弧放電電漿以產生離子不同的是,本發明的離子源 藉由以一或多個聚焦電子束之電子注入,而使用電子衝擊 離子化之製程氣體。 【實施方式】 簇離子植入系統 圖1A係依據本發明之高電流型式的一簇離子植入系統的 不意圖。除在圖1A顯示外的配置亦屬可能。一般地而言, 離子植入機的靜電光學系統利用嵌入在不同電位導電板的 槽(在一尺寸中顯示出一較大比例的孔徑),其傾向於產生帶 狀束,即在一尺寸中伸展之束。此方法經證實在減少空間 電荷力上有效,且藉由允許分開聚焦元件於分散(短軸)與非 92045.doc -18- 1263249 放(長轴)方向而簡化該離子光學系統。本發明的簇離子源 卒取電極220耦合,以產生一含有簇離子(Βΐ8Ηχ+或 Ah )的一離子束2〇〇。該等離子係藉由一萃取電極2加從離 子源1〇中的—細長槽(稱為離子萃取孔徑)萃取出,其也容納 比離子萃取孔徑尺寸些許大之槽透鏡;離子萃取孔徑典型 之尺寸可為(例如)寬8毫米,高5〇毫米,但其他尺寸亦屬可 =。電極是在一四極管配置中的加速_減速電極,即以高能 量從離子源提取離子然後在離開電極前使其減速之電極。 加速-減速電極之簡圖如圖1B所示。其係由被電源供應器 Vs偏壓之抑制板3〇〇、由電源供應器乂[偏壓之萃取板3⑻及 在植入機接地端(不一定是在減速機之地板接地)之接地板 304組成。萃取孔徑板80係被保持在與離子源10之離子化室 相同電位#係由電源供應器Va保持在離子源電位。為 產生正離子,Va&gt;〇、—“且%^。為產生負離子,Nuclear Instruments and Methods in Physics Research B 96' July-December 1995) offers advantages over positive ion implantation. An important advantage of negative ion implantation is the ability to reduce surface charging induced by ion implantation in very large integrated circuit devices in CMOS fabrication. In general, the high current of the positive ion (on the order of 1 mA or more) is implanted in the interpole of the 92045.doc 14-1263249 semiconductor device, which can easily exceed the oxide loss of the free electrode. Limit value #丨 potential. When a positive ion strikes the surface of a semiconductor device, it not only deposits a net positive charge, but also simultaneously releases secondary electrons, thereby multiplying the charging effect. As a result, equipment suppliers of hybrid 4/, ion implanted systems have developed complex electrical and electrical devices (so-called electronic overflow guns) to introduce low-energy electrons into positively charged ion beams during the implantation process. Onto the surface of the device wafer. This electronic overflow system introduces additional variables into the process, and I cannot completely eliminate the loss of yield due to surface charging. As semiconductor devices become smaller, the thickness of the transistor operation and the gate oxide are also reduced, and the damage limit value is reduced in the manufacture of the semiconductor device to further reduce the yield. Therefore, negative ion values may provide improved yield improvement over many leading edge processes beyond conventional positive ion implantation. Unfortunately, this technology is not yet available in the industry' and indeed negative ion implantation has not been used to create integrated circuits, even in research and development, in the knowledge of the inventors' authors. SUMMARY OF THE INVENTION An object of the present invention is to provide a method of fabricating a semiconductor device which can produce a p-type (i.e., acceptor) conductivity ultra-shallow impurity doped region in a semiconductor substrate, and further with high productivity. Another object of the present invention is to provide a method of fabricating a semiconductor device which can be produced in a semiconductor substrate using ionized clusters of the form BnH/ and ΒηΗχ·(where 4丨〇 and 〇4+)卩 type (ie acceptor) conductivity super shallow impurity doped region. A further object of the present invention is to provide a method for fabricating a semiconductor device by ionizing 92018ΗΧ+ or B18Hxm 八石石((8Βΐ22) ionization 92045.doc 15 1263249 knives (where X is less than or equal to 22) Integer). A further step of the present invention is to provide an ion implantation system for manufacturing a half body device designed to form a bismuth or bismuth conductivity type ultra-shallow via use of erbium ions in a semiconductor substrate. Impurity doped region. According to a feature of the invention, a method of implanting a cluster comprises the steps of: providing a molecule comprising a plurality of dopant atoms to an ionization, ionizing the attacking knife into dopant cluster ions, extracting with an electric field and The cluster ions are selected by mass spectrometry, and the cluster ions 2 are selected by mass analysis to modify the final implant energy of the helium ions of the ion optical system, and the dopant clusters are implanted into a semiconductor substrate. = Invented - the purpose is to provide a financial method to allow the conductor device to be made & quotient: improved by implanting - erbium dopant atoms (η = ΐ 8 at ΒιΑ +) instead of implanting a single atom at a time Difficulties in extracting low-energy ion beams The “Hybrid ion implantation method provides the same way as very low-energy single-atom implantation: Ζ each atomic system of the cluster is implanted with an energy of approximately Ε/η. Therefore, The implanter operates at an extraction power that is η times higher than the energy required to implant, and the basin results in a higher ion beam current', especially at the low implant energy required for USJ formation. In addition, each milliampere of cluster current Both provide 18 mA of monomeric stone (four). Test = In the ion extraction stage, the clustering ion implantation in the transmission efficiency of ten (four) can be quantified by evaluating the Child_Langmuim limit. The limit can be about: (1 Jmax=1.72(Q/A)1/2V3/2d-2&gt; where 4" is in mA/cm2, q is the ion charge state, A is the amount of ion f in AMU, and v is in kilovolts The unit's extraction position, and "92045.doc -16-1263249" is divided into centimeters. Fig. 2 is a graph in which the &quot;B+ has a deduction of 1 in the equation (1), wherein the average quality of the extracted bundle is assumed to be 15 eight. In fact, the extraction optics used by many ion implanters are close to this (4). By extension of equation (1), the following number (Δ) can be defined to quantify the increase in capacity or implant dose by quantizing the ion implantation and monomer implantation. Here, the relative improvement of the Δ system at the dose rate (atoms/second) is compared with the single-atom implantation with the energy υΐ(ΓΓUi=eV) to the atom of mass mi, Human - achieved with intentional implanted dopant atoms. In the case where it is cut to provide the same dopant implantation depth as the single atom (4), the equation (2) is reduced to: (3) 0 Therefore, the implant of the η dopant atoms is provided. More than single-atomic, knowing the potential to implant a higher η2 times dose. In the case of (4) formation: the high dose rate improvement system is more than 3 〇〇. Using box ions for ion implantation is obvious: = low energy ( Especially the secondary kev) ion beam transmission. Qin is paying attention to the = implant process only - the cluster only needs - electricity, instead of the conventional situation = a dopant atom bearing - charge. Transmission efficiency (beam transmission) Therefore, because of the charge AA I π l Λ with the charge, the dispersion of Coulomb force is reduced in degree. Importantly, this feature enables the electrons to be irradiated onto the wafer to be reduced by φ: because of a given The dose rate, the electron beam current of ® is drastically reduced. Same as #, because of the gasification of this volume, negative ions (such as (d), which enables the commercialization of negative ion implantation at high dose rates. Because negative ion implantation is positive Ion-17-1263249 implant produces less wafer charge, 1 because via The use of clusters also greatly reduces these currents' to further reduce the yield loss due to wafer charging. Therefore, using n-dopant atoms as a family rather than a single atom can improve low-energy ion implantation. Into the base of the wood raft + Fu Yun asked, and can have a significantly more productive method. Making this method feasible requires the formation of family ions. The monomer alone produces only the main low-order (eg, η==2) boxes of the knives, and thus these implanters do not effectively achieve the advantages of the above listed low-energy cluster implants. Indeed, many The dense plasma provided by the ion source instead dissociates the molecules and clusters into its constituent π. The novel ion source described here generates a large amount due to its use of a "soft" ionization process called electron impact ionization. Helium ion. The ionium of the present invention is specifically designed to generate and maintain dopant ions. Unlike impact-arc plasma to generate ions, the ion source of the present invention uses one or more focused electrons. bundle Electron injection, and process gas using electron impact ionization. [Embodiment] Cluster ion implantation system FIG. 1A is a schematic diagram of a cluster current ion implantation system according to the high current type of the present invention, except that shown in FIG. 1A. Configuration is also possible. In general, the electrostatic optical system of an ion implanter utilizes slots embedded in different potential conductive plates (showing a larger proportion of apertures in one dimension), which tend to produce a ribbon beam, That is, a bundle that stretches in one dimension. This method has proven to be effective in reducing the space charge force, and by allowing the separation of the focusing elements in the direction of dispersion (short axis) and non-92045.doc -18-1263249 (long axis). The ion optical system is simplified. The cluster ion source draw electrode 220 of the present invention is coupled to produce an ion beam 2 含有 containing cluster ions (Βΐ8Ηχ+ or Ah). The plasma is extracted by an extraction electrode 2 from an elongated slot (called an ion extraction aperture) in the ion source, which also accommodates a slot lens that is slightly larger than the ion extraction aperture size; the size of the ion extraction aperture is typically It can be, for example, 8 mm wide and 5 mm high, but other sizes are also OK. The electrodes are acceleration-deceleration electrodes in a quadrupole configuration, i.e., electrodes that extract ions from the ion source at high energy and then decelerate them before exiting the electrode. A schematic diagram of the acceleration-deceleration electrode is shown in Fig. 1B. It is a suppression plate 3 偏压 biased by the power supply Vs, a power supply 乂 [biased extraction plate 3 (8) and a ground plate at the ground of the implanter (not necessarily grounded on the floor of the reducer) 304 composition. The extraction aperture plate 80 is held at the same potential as the ionization chamber of the ion source 10, and is held at the ion source potential by the power supply Va. In order to generate positive ions, Va &gt; 〇, - "and % ^.

Vf=0且Vs&gt;〇。例如,為產生2〇 keV正極離子,典型電壓是 Va=2〇千伏特、VS=_5千伏特、Vf=_15千伏特。請注意此意 即各種板之實際電料:萃取孔徑板8q=2g千伏特、抑制板 300 = -20千伏特、萃取板跡_15千伏特、接地板勝〇伏 特。為產生負離子’電源供應器電壓會反轉。藉由使用雙 極電源供應器,可藉W1A、lc、之新㈣入機設計 產生負或正離子。因此’離子在高能量從離子源萃取,且 在離開接地板304時減速,致能有較高萃取電流且改進所產 生離子束200的聚焦與傳輸。 不同質量的離子,即在離 離子束200(圖ία)通常含有許多 92045.doc -19- 1263249 子源21 0中產生之特定電荷極性的所有離子種類。離子束 2〇〇接著進入一分析器磁鐵23〇。分析器磁鐵23〇在該離子束 傳輪路徑内產生一雙磁極磁場,作為在該磁鐵線圈中電流 的功能,所不磁場的方向係垂直圖丨Α之平面,其也沿著該 維光學系統之非分散軸。分析器磁鐵23〇也是一形成離子 萃取孔徑之實像的聚焦元素(即,該光學「物體」或離子之 來源),係在質量解析孔徑27〇的位置。因此,質量解析孔 徑270具有一類似孔徑比之形狀,但尺寸比離子萃取孔徑稍 大些。在一具體實施例中,&amp;析孔徑27〇的寬度係連續可變 以允許選擇植入機的質量解析度。此特徵對氫化硼簇離子 之傳送束電流(其顯示一些由一 AMU分隔離子狀態)的最大 化係很重要,如圖11A中所示)分析器磁鐵23〇的一主要功 能係藉由將該離子束彎成一弧(其半徑取決於該離散弧之 質量對電荷比),以在空間上將該離子束分開成或分散成一 組構成之子束。此一弧在圖1A中顯示為一束成分24〇,即被 選定的離子束。分析器磁鐵230沿一由以下方程式(句給定之 半徑彎曲一特定的束: (4) R=(2mU)1/2/qB, 其中R係彎曲半徑,B是磁通量密度,m是離子質量,u是離 子動能,而q是離子電荷狀態。 所選擇的離子束係只由在一質量-能量乘積之狹窄範圍 内的離子構成,因此可藉由該磁鐵之離子束的彎曲半彳①發 送該束通過質量解析孔徑270。未被選擇的束之成分不通^ 該質量解析孔徑270,但會在其它地方被戴收。對於具有比 92045.doc -20- 1263249 所選擇的束240之質量對電荷比m/q 250更小的離子束(例如 由具有質量1或2 AMU之氫離子構成),磁場會感生一較小 的彎曲半徑且該束與磁性真空室之内徑壁3〇〇或在該質量 解析孔徑之上游相交。對於具有比所選擇的束24〇之質量對 電何比260更大的束,磁場會感生一較大的彎曲半徑,且該 束撞擊磁性室之外徑壁290或該質量解析孔徑之上游。如在 此技術中已充分建立的,分析器磁鐵230與質量解析孔徑 270的組合形成一質量分析系統,其從離子源1〇萃取之多種 類束200中選擇離子束240。所選擇的束240接著通過一後分 析加速/減速電極310。在此階段310可調整束之能量成立特 定植入製程所需的需求最終能量值。例如,如果以一高能 形成與傳輸5亥離子束’在低能量、高劑量製程可獲得較高 電流’且接著在到達晶圓前減速至需求之低植入能量。該 後分析加速/減速透鏡3 10是一與建構於減速電極22〇者類 似的一靜電式透鏡。為產生低能量正離子束,植入機的前 部係由末端包圍件208所圍繞,且懸浮在地面。為安全原因 一落地的法拉第(Faraday)籠205會圍繞該包圍件2〇8。因 此’離子束能在高能量傳送且經質量分析,且在到達工件 前減速。因為減速電極300係一強聚焦光學系統,雙重四極 管320將離子束240再次聚焦以減少角度發散與空間範圍。 為了防止在解析孔徑與基板312間經歷電荷交換或中性化 反應之離子(因此,不具有修正能量)傳播至基板3 1 2,一中 性束滤波器310a(或「能量濾波器」)會併入此束之路徑内。 例如,所顯示的中性束濾波器310併入在束路徑中的「狗腿 92045.doc 1263249 似彎曲」或小角度偏折,所選擇的離子束240係受限制以跟 隨通過一施加之直流電磁場;然而,變成電性中立或多重 充電之束成分將必然不隨著此路徑。因此,僅有意且具有 正確此篁之離子通過渡波器3 1 〇a的離開孔徑3 14之下游。 一旦该束由四極管對320成型且由一中性束濾波器3 1〇a 過濾,離子束240進入晶圓處理室33〇,也被保持在_高真 空環境中,在該室内其撞擊安裝在一旋轉盤315上的基板 3 12。用於該基板的各種材料均適於本發明,例如矽、絕緣 物上石夕或應變超級晶格基板及一鍺化石夕應變超級晶格基 板。可在碟上安裝許多基板,因此才能同時植入許多基板 (即’在批次模式中)。在批次系統中,該碟之旋轉提供在半 徑方向之機械式掃描,且同時也可垂直或水平掃描旋轉 碟,離子束保持固定。 而電流植入機之替代性具體實施例如圖丨c與圖丨D所 示。特別是圖1C示範一類似圖1A描述的加速_減速植入機, 除了藉由移走雙重四極管320與中性束濾波器31〇a而明顯 地縮短離子束線。此配置導致經由該植入機之較佳束傳 輸’且在基板312上提供較高之束電流。 圖1D示範一非加速_減速植入機,即,在其中整個植入機 的真空系統係在地表接地。因此,在圖1 中該減速透鏡3 ^ 〇 與末端包圍件208與圖1C所示之具體實施例相比下是被刪 除的。澡束植入方法以次keV能量傳遞很高的有效摻雜劑束 電流,甚至沒有減速度。示範於圖1D之簇束植入系統係大 幅簡化且能更經濟地生產。其也具有一較短的離子束線, 92045.doc -22- 1263249 因而增加傳輸到基板312之束。 圖1E概要地示範併入本發明的中電流植入機。圖1 e所示 具有許多替代性配置。通常是數毫米高且少於一毫米寬之 離子束係在離子源4〇〇中產生、藉由萃取電極4〇1萃取且傳 迗通過分析器磁鐵4〇2與質量解析孔徑4〇3。此產生一特定 貝里-旎ϊ乘積之束404。因為能量係由萃取電壓固定,通 Φ 單一質ϊ在一特定分析器磁鐵4〇2磁場下通過該質量 分析器與解析孔徑。上述方程式(4)描述此過程。氫化硼簇 離子束離開質量解析孔徑且進入加速-減速電極405。此電 極係專門设計以增加能量至離子束,或者減少離子束的能 ®。對於低能量植入,束傳輸係藉由在高能量萃取該束而 增強,且然後在減速電極中減少能量。圖2所示之 ^hild-Langmuir定律限制了可從離子源萃取的電流。該電流 抢度的U3/2相依關係限制了能量(其中U係萃取能量),此係 在高萃取能量增加電流的原因。對於高能量植入,加速-減 速電極係用以增加離子束的能量至一高於萃取能量的一能 量。萃取能量通常是20至40 keV,且可減至少於lkeV或對 於單獨充電的離子而言可增加至高達2〇〇keV,而對於多重 充電離子可高達500 keV。在加速後,該束在由加_減電極 調整能量後被傳送至-四極管透鏡楊以再次聚焦。此步驟 增進通過植入機其他部份之傳輸效率。如果束被允許在離 開加-減速區時擴展,其將撞擊離子束線之壁且造成由撞擊 離子束線408之束產生的微粒,以及無法植入該目標。接著 該束接觸掃描模組407,其在一二欠元上(通常是水平地)掃描 92045.doc -23 - 1263249 該束。掃描頻率通常在千赫兹範圍内。此造成該束且有— 很大的角度變化,導致該束在不同角度撞擊目標上不同部 广為消除此掃描引起的發散,該束被引導通過—束準直 器楊。束準直器為磁式或靜電式,且獲得一寬的平行束 409。因為在該離子束線中遇㈣電衫換反應,準直器也 將離子從在與預期能量不同的束令移走。在離開該準直写 時,該束會進入晶圓處理室411且撞擊目標412。通常中電 流植入機-次處理一晶圓。這在該產業中係稱為串列式處 理。藉由在與該束掃描方向之正交方向(例如在垂直之尺寸) 中轉移該晶圓而完成該晶圓之區域涵蓋。垂直方向之頻率 比「快速」掃描頻率慢很多,具有每循環5至1〇秒@ 一週期。 在晶圓上之劑量(離子數/平方公分)係藉由監控緊靠晶圓安 #的-法拉第(Faraday)杯413内之束電流控制。各次掃描 後,在掃描的最末端處,該束會進人法拉第杯且被監控。 此允許以等於束掃描頻率的一速率測量束電流,例如每秒 觸次。接著使用此信號以在與束掃描正交之方向控制垂 直轉移速度’以獲得橫跨晶圓的均勻劑量。此外,該串列 式處理室允許晶圓相對離子束定位之自由度。在植入製程 中能使晶圓轉動’且可傾斜較大角度’相對束法線多達60 度。 使用諸WB1SHX+或Asj/之簇離子束,允許該束之萃取與 傳輸可在比使㈣+或As+之單體高的能量下發生。當撞擊目 軚日守,孩離子能量係藉由該等個別、構成原子之質量比而 劃分。對於Βΐ8Ϊί22,有效之硼能量是該束能量的10.8/216.4, 92045.doc -24- 1263249 因為一平均硼原子具有之質量為10·8 amu,而該分子具有 216.4amu之平均質量。此允許該束可以2〇倍植入能量萃取 與傳輸。此外,劑量率比單體離子高18倍。此導致晶圓之 較高產能且較少充電。減少晶圓充電係因為對於18個原子 只有一電荷植入晶圓,不像以單體束植入時每原子需一電 荷。 以簇之電漿摻雜 用於半導體的摻雜之離子束線離子植入的一替代性方法 稱為「電漿浸入」。此技術由係以其他數個名稱出現於半導 體產業中,例如PL AD(電漿摻雜)、PPLAD(脈衝式電漿摻雜) 及PI3(電聚浸入式離子植入)。使用此等技術之摻雜需要在 一已被排空而後回填一含有摻雜劑之大型真空容器中衝擊 -電漿,該摻雜劑之選擇諸如三敦化删、二職、碎或麟 化氫。此技術中界定之電漿中具有正離子、負離子與電子。 該目標接著被負偏壓,因此造成在電聚中之正離子被加速 朝向目標。離子的能量係由等式U=QV加以描述,其中u係 離子的動能,Q是離子上電荷,且¥係晶圓上的偏壓。此技 術無質量分析。在電漿中的所有正離子被加速且植入晶圓 中。因此’必須能產生極為乾淨的電漿。以此摻雜技術諸 如B1SH22之硼簇或諸如AS4Hx的砷簇之蒸汽可被導入容器 中,且點燃一電聚’跟著應用一負偏壓於晶圓上。偏壓可 以是固定、隨時間變化或者脈衝式。使用此等簇將且有優 勢,因為摻雜劑原子對氫的比(如,使用〜h22_2H6,及 As4Hx對AsH3)’就氫化物簇而言,比單純氮化物大,且當 92045.doc -25- 1263249 使用簇時劑量率可能高許多。劑量可以是由已知介於容器 中蒸汽壓力、溫度、偏壓大小與偏壓負載週期及在目標上 =離子到達率間之關係並行控制。也可能直接測量在該目 標上的電流。如以離子束線植入,使用十八硼烷將在劑量 率上獲得1 8倍之增進,且當十八硼烷是選擇的蒸汽時,需 要高2〇倍的加速電壓。如果使用As4Hx,將會有4倍之劑量 率增進且需要四倍電壓。因此如以利用簇之離子束線植入 機,將會減少量的改變。 蔟離子源 圖3是一簇離子源1〇與其各種組件之圖式。其構造的細節 以及較佳操作模式係詳盡地揭露於2〇〇2年6月26曰申請之 共同擁有美國專利申請案第1〇/183,768號,標題為:「電子 才里擊離子源(Electron lmpact Ion source)」,發明人為τ. Ν·Vf = 0 and Vs &gt; For example, to generate 2 ke keV positive ions, the typical voltage is Va = 2 〇 kV, VS = _5 kV, Vf = _15 kV. Please note that this means the actual material of the various plates: extraction aperture plate 8q = 2g kV, suppression plate 300 = -20 kV, extraction plate _15 kV, ground plate wins volts. In order to generate negative ions, the power supply voltage will be reversed. By using a bipolar power supply, negative or positive ions can be generated by W1A, lc, and new (4) on-board design. Thus the ions are extracted from the ion source at high energy and decelerated as they exit the ground plane 304, enabling higher extraction currents and improving the focus and transmission of the resulting ion beam 200. Ions of different masses, i.e., in the ion beam 200 (Fig. ία), typically contain all of the ion species of a particular charge polarity generated in the 92045.doc -19-1263249 subsource 21 0 . The ion beam 2〇〇 then enters an analyzer magnet 23〇. The analyzer magnet 23 产生 generates a double magnetic pole magnetic field in the ion beam transmission path as a function of current in the magnet coil, and the direction of the non-magnetic field is a plane perpendicular to the plane, which is also along the dimensional optical system Non-dispersive axis. The analyzer magnet 23A is also a focusing element that forms a real image of the ion extraction aperture (i.e., the source of the optical "object" or ion) at a mass resolution aperture of 27 。. Therefore, the mass resolution aperture 270 has a shape similar to the aperture ratio, but is slightly larger in size than the ion extraction aperture. In one embodiment, the width of the &amp; resolution aperture 27 is continuously variable to allow for selection of the mass resolution of the implanter. This feature is important for maximizing the beam current of the boron hydride cluster ions (which shows some separation of the ion state by an AMU), as shown in Figure 11A. A major function of the analyzer magnet 23〇 is by The ion beam is bent into an arc whose radius depends on the mass to charge ratio of the discrete arc to spatially separate or disperse the ion beam into a set of constituent beamlets. This arc is shown in Figure 1A as a bundle of components 24, i.e., the selected ion beam. The analyzer magnet 230 bends a particular beam along a radius given by the following equation: (4) R = (2mU) 1/2 / qB, where R is the bending radius, B is the magnetic flux density, and m is the ion mass. u is the ion kinetic energy, and q is the ion charge state. The selected ion beam system consists only of ions in a narrow range of mass-energy product, so it can be sent by the bending half of the ion beam of the magnet. The beam passes through the mass resolution aperture 270. The component of the unselected beam does not pass through the mass resolution aperture 270, but is otherwise received. For a mass-to-charge having a beam 240 selected than 92045.doc -20-1263249 An ion beam smaller than m/q 250 (for example consisting of hydrogen ions with mass 1 or 2 AMU), the magnetic field induces a small bending radius and the beam and the inner diameter wall of the magnetic vacuum chamber 3 or Intersecting upstream of the mass resolution aperture. For a beam having a mass greater than the selected beam 24 对, the magnetic field induces a larger bend radius and the beam strikes the outer diameter of the magnetic chamber Wall 290 or upstream of the mass resolution aperture. Fully established in the art, the combination of analyzer magnet 230 and mass resolution aperture 270 forms a mass analysis system that selects ion beam 240 from a plurality of types of beam 200 extracted from ion source 1 . The selected beam 240 then passes The acceleration/deceleration electrode 310 is thereafter analyzed. At this stage 310, the energy of the beam can be adjusted to establish the required final energy value required for a particular implantation process. For example, if a high energy energy is formed and transmitted 5 Hz ion beam 'at low energy, high The dose process achieves a higher current' and then decelerates to a lower required implant energy before reaching the wafer. The post analysis acceleration/deceleration lens 3 10 is an electrostatic lens similar to that constructed on the deceleration electrode 22. To create a low energy positive ion beam, the front of the implanter is surrounded by an end enclosure 208 and suspended in the ground. For safety reasons, a Faraday cage 205 will surround the enclosure 2〇8. 'The ion beam can be transmitted at high energy and analyzed by mass and decelerated before reaching the workpiece. Since the decelerating electrode 300 is a strong focusing optical system, the dual quadrupole 320 will ion beam 240 Secondary focusing to reduce angular divergence and spatial extent. In order to prevent ions that undergo charge exchange or neutralization between the analytical aperture and the substrate 312 (and therefore have no correction energy) to propagate to the substrate 3 1 2, a neutral beam filter 310a (or "energy filter") will be incorporated into the path of the beam. For example, the displayed neutral beam filter 310 is incorporated into the beam path "dog leg 92045.doc 1263249 like bending" or small angle offset The selected ion beam 240 is constrained to follow a applied DC electromagnetic field; however, the beam component that becomes electrically neutral or multi-charge will necessarily not follow this path. Therefore, it is only intended and has the correct The ions pass through the downstream of the aperture 3 14 of the ferrator 3 1 〇a. Once the beam is formed by quadrupole pair 320 and filtered by a neutral beam filter 3 1〇a, ion beam 240 enters wafer processing chamber 33〇 and is also held in a high vacuum environment where it is impact mounted A substrate 3 12 on a rotating disk 315. Various materials for the substrate are suitable for use in the present invention, such as tantalum, insulator or strained superlattice substrates, and a fossilized strained superlattice substrate. Many substrates can be mounted on the disc so that many substrates can be implanted simultaneously (i.e., in batch mode). In a batch system, the rotation of the disc provides a mechanical scan in the radial direction, and at the same time the rotating disc can be scanned vertically or horizontally, and the ion beam remains fixed. An alternative embodiment of the current implanter is shown in Figure c and Figure D. In particular, Figure 1C demonstrates an acceleration-deceleration implanter similar to that described in Figure 1A, except that the ion beam line is significantly shortened by removing the dual quadrupole 320 and the neutral beam filter 31A. This configuration results in a better beam transmission via the implanter&apos; and provides a higher beam current on the substrate 312. Figure 1D illustrates a non-acceleration-deceleration implanter in which the vacuum system of the entire implanter is grounded at the surface. Therefore, in Fig. 1, the deceleration lens 3^ and the end envelope 208 are removed in comparison with the embodiment shown in Fig. 1C. The bath beam implantation method delivers a very high effective dopant beam current with sub-keV energy, even without deceleration. The tuft implant system demonstrated in Figure 1D is greatly simplified and can be produced more economically. It also has a shorter ion beam line, 92045.doc -22-1263249 thus increasing the beam transmitted to the substrate 312. Figure 1E schematically illustrates a medium current implanter incorporating the present invention. Figure 1 e shows many alternative configurations. An ion beam of typically several millimeters high and less than one millimeter wide is produced in the ion source 4〇〇, extracted by the extraction electrode 4〇1, and passed through the analyzer magnet 4〇2 and the mass resolution aperture 4〇3. This produces a bundle 404 of a particular Berry-旎ϊ product. Since the energy is fixed by the extraction voltage, the single mass is passed through the mass analyzer and the analytical aperture under a specific analyzer magnet 4〇2 magnetic field. The above equation (4) describes this process. The boron hydride cluster ion beam exits the mass resolution aperture and enters the acceleration-deceleration electrode 405. This electrode is specifically designed to increase energy to the ion beam or to reduce the energy of the ion beam. For low energy implantation, beam delivery is enhanced by extracting the beam at high energy and then reducing energy in the decelerating electrode. The ^hild-Langmuir law shown in Figure 2 limits the current that can be extracted from the ion source. The U3/2 dependence of this current sag limits the energy (where U is the extracted energy), which is responsible for the increased current in the high extraction energy. For high energy implantation, the acceleration-deceleration electrode is used to increase the energy of the ion beam to an energy higher than the extraction energy. The extraction energy is typically 20 to 40 keV and can be reduced by at least lkeV or up to 2 〇〇 keV for separately charged ions and up to 500 keV for multiple charged ions. After acceleration, the beam is transferred to the quadrupole lens to focus again after adjusting the energy by the add-down electrode. This step enhances the transmission efficiency through other parts of the implanter. If the beam is allowed to expand as it exits the acceleration-deceleration zone, it will strike the wall of the ion beam line and cause particles generated by the beam striking the ion beam line 408, as well as being unable to implant the target. The beam then contacts the scanning module 407, which scans the beam at 92045.doc -23 - 1263249 on a singular element (usually horizontally). The scanning frequency is usually in the kilohertz range. This causes the beam to have a large angular change that causes the beam to strike different parts of the target at different angles. This widely eliminates the divergence caused by this scan, which is directed through the beam collimator. The beam collimator is magnetic or electrostatic and a wide parallel beam 409 is obtained. Because the (4) electric shirt is reacted in the ion beam, the collimator also removes ions from the beam that is different from the expected energy. Upon exiting the collimated write, the beam will enter the wafer processing chamber 411 and strike the target 412. Usually a medium current implanter processes one wafer at a time. This is referred to as tandem processing in this industry. The area coverage of the wafer is accomplished by transferring the wafer in a direction orthogonal to the beam scanning direction (e.g., in a vertical dimension). The frequency in the vertical direction is much slower than the "fast" scan frequency, with 5 to 1 second per cycle @ one cycle. The dose on the wafer (number of ions per square centimeter) is controlled by monitoring the beam current in the Faraday cup 413 immediately adjacent to the wafer. After each scan, at the very end of the scan, the bundle enters the Faraday cup and is monitored. This allows the beam current to be measured at a rate equal to the beam scan frequency, such as a touch per second. This signal is then used to control the vertical transfer speed 'in the direction orthogonal to the beam scan to obtain a uniform dose across the wafer. In addition, the tandem processing chamber allows for the freedom of wafer positioning relative to the ion beam. The wafer can be rotated 'and tilted at a larger angle' up to 60 degrees relative to the beam normal during the implantation process. The use of clustered ion beams of WB1SHX+ or Asj/ allows the extraction and transport of the beam to occur at higher energies than the monomers of (4)+ or As+. When the impact is on the target, the child's ion energy is divided by the mass ratio of the individual atoms. For Βΐ8Ϊί22, the effective boron energy is 10.8/216.4 of the beam energy, 92045.doc -24-1263249 because an average boron atom has a mass of 10·8 amu, and the molecule has an average mass of 216.4 amu. This allows the beam to be implanted and transported 2 times more efficiently. In addition, the dose rate is 18 times higher than the monomer ion. This results in higher throughput and less charging of the wafer. Reducing the wafer charging system is because there is only one charge for implanting the wafer for 18 atoms, unlike a charge per atom when implanting a single beam. Doping a plasma with a cluster An alternative method for doping ion beam ion implantation of a semiconductor is called "plasma immersion." This technology appears in the semiconductor industry under several other names, such as PL AD (plasma doping), PPLAD (pulsed plasma doping), and PI3 (electric immersion ion implantation). The doping using such techniques requires an impact-plasma in a large vacuum vessel containing a dopant that has been evacuated and backfilled. The dopant is selected such as San Dunhua, Du Shi, Broken or Liner . The plasma defined in this technology has positive ions, negative ions and electrons. The target is then negatively biased, thus causing positive ions in the electropolymer to be accelerated toward the target. The energy of the ions is described by the equation U = QV, where the kinetic energy of the u-type ions, Q is the charge on the ions, and the bias on the wafer. There is no quality analysis for this technique. All positive ions in the plasma are accelerated and implanted in the wafer. Therefore, it must produce extremely clean plasma. The doping technique, such as a boron cluster of B1SH22 or a vapor of an arsenic cluster such as AS4Hx, can be introduced into the vessel and ignited by an electropolymer as a negative bias on the wafer. The bias voltage can be fixed, time varying or pulsed. The use of such clusters would be advantageous because the ratio of dopant atoms to hydrogen (eg, using ~h22_2H6, and As4Hx versus AsH3)' is greater for hydride clusters than for simple nitrides, and when 92045.doc - 25- 1263249 The dose rate can be much higher when using clusters. The dose can be controlled in parallel by the relationship between the known vapor pressure, temperature, bias magnitude and bias load period in the vessel and on the target = ion arrival rate. It is also possible to directly measure the current at this target. If ion beam implantation is used, the use of octadecaborane will achieve a 18-fold increase in dose rate, and when octadecaborane is the selected vapor, an acceleration voltage of 2 times higher is required. If you use As4Hx, you will have a 4x dose rate increase and require four times the voltage. Therefore, if the ion beam implanter is used with a cluster, the amount of change will be reduced.蔟Ion Source Figure 3 is a diagram of a cluster of ion sources and their various components. The details of the construction and the preferred mode of operation are disclosed in detail in the co-owned U.S. Patent Application Serial No. 1/183,768, filed on June 26, 2002, entitled: "Electronics (Iron Source) Lmpact Ion source)", the inventor is τ. Ν·

Horsky,在此以引用方式併入。離子源1〇是新穎之電子撞 衝擊離子化來源的一具體實施例。圖3是該來源結構之剖面 概要圖,係用於製成離子源丨〇之組件的功能。離子源⑺藉 由一安裝凸緣36與一離子植入機之排氣真空室或其他製程 工具產生介面。因此,離子源1〇凸緣36的右邊部分(圖3所 不)係在高真空(壓力&lt;lxl〇·4托耳(T〇rr))。氣體材料被導入 離子化室44,在其中藉由來自電子束7〇A或7〇B之電子撞擊 而使氣體分子離子化,其經由電子進入孔徑7丨B進入離子化 室44,使得電子束70A或70B與離子萃取孔徑81對準,且經 由電子離開孔徑71A離開離子化室44。在一併入單電子搶與 束堆積處(如圖4A與圖5 A所示)的一具體實施例中,在電子 92045.doc -26- 1263249 束離開離子化室44後會被位於離子化室44外之束堆積處72 條止。因此,離子產生在鄰近離子萃取孔徑8 1,其在離子 十取孔彳工板80上為一槽。離子接著被萃取,且藉由位於離 子卒取孔徑板80前的一萃取電極(未顯示)形成一具能量的 離子束。在圖4A與4B及在圖5 A與5B中更詳細顯示該離子化 區〇 請參考圖3,氣體可經由氣體導管33饋入離子化室料。固 體饋入材料可在汽化器28中蒸發,且蒸汽經由在來源組塊 35内之瘵汽導管32供應予離子化室44。固體饋入材料29(位 於有孔刀隔阻障34a下)藉由汽化器殼體3〇之溫度控制保持 在一均勻溫度。累積在艙室容積31内之蒸汽5〇經由導管39 且經由一或多個停止閥100與11〇饋入。在停止閥11〇内之蒸 汽50的標示壓力係由一電容式壓力計6〇監控。蒸汽5〇經由 蒸邝導官32(位於來源組塊35中)饋入離子化室44。因此,氣 體與固體摻雜劑承載材料可藉由此離子源離子化。 囷4 A 4B、5 A與5B示範離子源光學設計的替代性具體實 施例。特別是圖4A與5 A示範本發明併入一單一電子源的一 具體實施例。圖4B與5B示範併入一雙重電子源的一替代性 具體實施例。 單一電子源 圖4 A特別是示範依據本發明之離子源配置的光學設計之 具體實施例的剖面圖。在本發明之此具體實施例中,一電 子束70係從一加熱燈絲} 1〇發射,且由於束轉向器之影響而 繞行90度軌道(例如併入一靜磁場B 135,如所指在紙 92045.doc -27- 1263249 平面的方向)至離子化室44,首先通過底板105中之底板孔 徑106,且接著通過在離子化室44内之電子進入孔徑70a。 通過整個離子化室44(即通過電子進入孔徑71 a與電子離開 孔控71)之電子在束堆積處72被截收。射極遮護件1〇2係與 底板105等電位,且提供對傳播中電子束70的靜電遮護。隨 著電子束70通過底板孔徑1 06傳播,其會在進入離子化室44 前減速,係藉由供應一電壓Va予底板1〇5(由正極電源供應 态115提供)’及電壓Vc至燈絲11〇(由負極電源供應器丨16提 供),二者均相對於離子化室44偏壓。重要的是維持電子束 能量明顯尚於通常用於在束形成與傳輸區(即,在離子化室 44外)離子化所需。這是由於空間電荷效應,此效應嚴重地 減少束電流且放大予在低能量之電子束直徑。因此,需求 維持電子束能量在約1.5 keV與5 keV範圍間。 所有電壓均係相對於離子化室44。例如,如果vc=_〇 5千 伏特且Va=1.5千伏特,因此電子束能量係由“%々…給定, 其中e是電荷(6·02χ10-ΐ9庫侖)。因此,在此實例中,電子束 70係在2 keV的能量下形成與偏折,但當進入電子進入孔徑 70a時,其具有僅僅〇·5 keV的能量。 圖4A中所示的其他元件包括一萃取離子束12〇、一源極靜 電遮護件ιοί及射極遮護件102。射極遮護件1〇2遮護電子束 70,防止與底板105與源極遮護件1〇1(其係與離子化室料同 電位)間之電位差有關的電場。源極遮護件ι〇ι遮護離子束 ⑶,防止介於底板105與離子化室44間之電位差產生的電 場,且也作為吸收可撞擊離子源元件之偏離電子與離子。 92045.doc -28- 1263249 由於此原因,射極遮護件102與源極遮護件1〇1係由耐燃金 屬構成,諸如鉬。另一選擇是,更完整遮護離子束12〇防止 磁場B135與B,119’可藉由製造一鐵磁物質(諸如磁性不鏽 鋼)的源極遮護件101而完成。 圖5A係示範機械細節的一剖開圖,其明示圖4八之内容物 如何併入圖3之離子源中。電子是熱離子化地從燈絲ιι〇發 射且加速至陽極14〇,形成電子束7〇。因為電子束%是在離 子化室外部產生,射極壽命相對於習知配置會延長許多, 因為射極係在植入機真空殼體之低壓環境中,在其中離子 源“駐邊,且因為该射極被有效地保護防止離子轟擊。 來自永久磁鐵130與磁極裝配件125之磁通量係用以藉由 在橫跨磁極裝配件125末端之空氣間隙建立的一均勻磁場 使该束轉向,電子束70會在其内傳播。磁場B 135與電子束 7〇之電子束能量會匹配,使得電子束7〇會偏轉大約9〇度, 且如所不進入離子化室44内。藉由將電子束7〇偏折通過(例 如)90度,在射極遮護件11〇與離子化室料間之視線内不含 有離子,因而防止具有能量之帶電微粒轟擊該等射極。 因為Va相對於離子化室44係正的,當電子束7〇通過由底 板孔徑106與電子進入孔徑7〇a界定之間隙時會減速。因 此,底板孔徑106與電子進入孔徑7〇a之組合與其等間的間 隙,會形成一靜電透鏡(在這種情況下,係一減速透鏡)。使 用減速透鏡允許调整電子束的離子化能量,而不實質上 影響電子束之產生與偏折。 可藉由一或多個陶瓷間隔件132建立該間隙,其支撐底板 92045.doc -29- 1263249 i〇5且作為與來源組塊35(其係處於離子化室之電位)間之門 陶莞間隔件132提供電性隔離與機械支#。為求_\Horsky, incorporated herein by reference. Ion source 1 is a specific embodiment of a novel source of electron impact ionization. Figure 3 is a schematic cross-sectional view of the source structure for use as a component of an ion source crucible. The ion source (7) creates an interface with a mounting flange 36 and an exhaust vacuum chamber or other process tool of an ion implanter. Therefore, the right side portion of the ion source 1 〇 flange 36 (not shown in Fig. 3) is at a high vacuum (pressure &lt; lxl 〇 4 Torr (T rrrr)). The gas material is introduced into the ionization chamber 44, in which the gas molecules are ionized by electron impact from the electron beam 7A or 7B, which enters the ionization chamber 44 via the electrons entering the aperture 7丨B, so that the electron beam The 70A or 70B is aligned with the ion extraction aperture 81 and exits the ionization chamber 44 via electron exit aperture 71A. In a specific embodiment incorporating a single electron grab and beam stack (as shown in Figures 4A and 5A), the electrons 92054.doc -26-1263249 will be ionized after exiting the ionization chamber 44. There are 72 piles at the outside of the chamber 44. Thus, ions are generated adjacent to the ion extraction aperture 181, which is a slot on the ion slab. The ions are then extracted and an ion beam of energy is formed by an extraction electrode (not shown) located in front of the ion striking aperture plate 80. The ionization zone is shown in more detail in Figures 4A and 4B and in Figures 5A and 5B. Referring to Figure 3, gas can be fed into the ionization chamber via gas conduit 33. The solid feed material can be vaporized in vaporizer 28 and steam is supplied to ionization chamber 44 via a helium vapor conduit 32 within source block 35. The solid feed material 29 (located under the apertured barrier 34a) is maintained at a uniform temperature by the temperature control of the vaporizer housing 3〇. The steam 5 累积 accumulated in the cabin volume 31 is fed via the conduit 39 and via one or more stop valves 100 and 11 . The indicated pressure of the steam 50 in the stop valve 11 is monitored by a capacitive pressure gauge 6〇. Vapor 5 is fed to ionization chamber 44 via distillation pilot 32 (located in source block 35). Thus, the gas and solid dopant bearing material can be ionized by the ion source. An alternative embodiment of the optical design of the 离子4 A 4B, 5 A and 5B exemplary ion sources. In particular, Figures 4A and 5A illustrate a specific embodiment of the present invention incorporating a single electron source. 4B and 5B demonstrate an alternative embodiment incorporating a dual electron source. Single Electron Source Figure 4A is a cross-sectional view, in particular, illustrating a specific embodiment of an optical design of an ion source configuration in accordance with the present invention. In this embodiment of the invention, an electron beam 70 is emitted from a heating filament, and is orbited by a beam of 90 degrees (for example, incorporating a static magnetic field B 135, as indicated In the direction of the paper 92045.doc -27-1263249 plane to the ionization chamber 44, first through the bottom plate aperture 106 in the bottom plate 105, and then through the electrons in the ionization chamber 44 into the aperture 70a. Electrons that pass through the entire ionization chamber 44 (i.e., with electrons entering the aperture 71a and electrons exiting the aperture 71) are intercepted at the beam stack 72. The emitter shield 1〇2 is equipotential to the bottom plate 105 and provides electrostatic shielding of the propagating electron beam 70. As the electron beam 70 propagates through the bottom plate aperture 106, it will decelerate before entering the ionization chamber 44 by supplying a voltage Va to the bottom plate 1〇5 (provided by the positive power supply state 115) and the voltage Vc to the filament 11〇 (provided by the negative power supply 丨16), both of which are biased relative to the ionization chamber 44. It is important to maintain the electron beam energy that is typically required for ionization in the beam formation and transport regions (i.e., outside of the ionization chamber 44). This is due to the space charge effect, which severely reduces the beam current and amplifies the beam diameter at low energy. Therefore, it is required to maintain the electron beam energy between about 1.5 keV and 5 keV. All voltages are relative to the ionization chamber 44. For example, if vc=_〇5 kV and Va=1.5 kV, the electron beam energy is given by “%々..., where e is the charge (6·02χ10-ΐ9 coulomb). Therefore, in this example, The electron beam 70 is formed and deflected at an energy of 2 keV, but has an energy of only 〇·5 keV when it enters the aperture 70a. The other elements shown in Fig. 4A include an extracted ion beam 12〇, a source electrostatic visor ιοί and an emitter visor 102. The emitter visor 1 遮 2 shields the electron beam 70 from the bottom plate 105 and the source visor 1 〇 1 (the system and the ionization chamber An electric field related to the potential difference between the potential and the potential. The source shield ι〇ι shields the ion beam (3) to prevent an electric field generated by a potential difference between the bottom plate 105 and the ionization chamber 44, and also serves as an absorption colliding ion source. The element is deviated from electrons and ions. 92045.doc -28- 1263249 For this reason, the emitter shield 102 and the source shield 1〇1 are composed of a flame resistant metal, such as molybdenum. Alternatively, a more complete cover The ion beam 12〇 prevents the magnetic fields B135 and B, 119' from manufacturing a ferromagnetic substance (such as Figure 5A is a cross-sectional view of an exemplary mechanical detail showing how the contents of Figure VIII are incorporated into the ion source of Figure 3. The electrons are thermally ionized from the ion source. The filament 〇ι〇 emits and accelerates to the anode 14〇, forming an electron beam 7〇. Since the electron beam % is generated outside the ionization chamber, the emitter lifetime is prolonged relative to the conventional configuration because the emitter is in the implanter vacuum In the low pressure environment of the housing, the ion source "stands" and because the emitter is effectively protected against ion bombardment. The magnetic flux from the permanent magnet 130 and the pole assembly 125 is used to steer the beam by a uniform magnetic field established across the air gap at the end of the pole assembly 125, within which the electron beam 70 will propagate. The magnetic field B 135 is matched to the electron beam energy of the electron beam 7 , so that the electron beam 7 偏转 is deflected by about 9 , degrees and does not enter the ionization chamber 44 as it is. By deflecting the electron beam 7 通过 through (e.g., 90 degrees), ions are not contained in the line of sight between the emitter shield 11 〇 and the ionization chamber, thereby preventing energetic charged particles from bombarding the emitters. Since Va is positive with respect to the ionization chamber 44, it decelerates as the electron beam 7〇 passes through the gap defined by the substrate aperture 106 and the electron entry aperture 7〇a. Therefore, the combination of the bottom plate aperture 106 and the electron entrance aperture 7〇a and its equal gap creates an electrostatic lens (in this case, a deceleration lens). The use of a deceleration lens allows the ionization energy of the electron beam to be adjusted without substantially affecting the generation and deflection of the electron beam. The gap can be established by one or more ceramic spacers 132 that support the bottom plate 92045.doc -29-1263249 i〇5 and serve as a gate to the source block 35 (which is at the potential of the ionization chamber). Spacer 132 provides electrical isolation and mechanical branch #. For _\

T注意到射極遮護件1G2與源極遮護件⑻未顯*於圖5A 中。冋樣不顯示的係在圖7A至7財所示之磁性概裝配件 因為電子進入孔徑術能限制電子束7〇的傳輸,底板1〇5 可截收具能量電子束70的一明顯部分,因此底板ι〇5必須主 動地冷卻或被動地冷卻。可藉由將液體冷卻劑(例如水)通過T notices that the emitter shield 1G2 and the source shield (8) are not shown in Figure 5A. The magnetic assembly fittings shown in Figures 7A through 7 are capable of limiting the transmission of the electron beam 7〇, and the bottom plate 1〇5 can intercept a significant portion of the energy electron beam 70, Therefore, the bottom plate 〇5 must be actively cooled or passively cooled. By passing a liquid coolant (such as water)

底板1〇5完成主動冷卻,或迫使職空氣流過該底板呢。 在-替代性具體實施例中,完成被動冷卻係藉由允許底板 10 5達到-溫度’經由_至其周圍以兹冷卻。此穩態溫度 取決於其截收的束之功率、底板表面積與發射率及周圍组 件的溫度。當運作能在曝露之冷表面形成污染與微粒狀薄 膜的可冷凝氣料,允許該底板1G5在較高温度(如,攝氏 250度)操作較具優勢。 雙重電子源 圖4B不範一雙重電離子源配置之光學設計的一替代性具 · 體實施例。在本發明之此具體實施例中,一對空間上分隔 電子束70a與70b疋從一對空間上分隔的加熱燈絲與 11〇b#射’且由於轉向器或靜磁場B 135a與135b之影塑而 ㈣90度之執道(在如所指垂直紙平面的方向)進入料化,· 至44,首先通過一對底板孔徑l〇6a與106b及一對分開之底 板105a與l〇5b,且接著通過在離子化室44内之一對電子進 入孔彳工7la與71b。通過整個離子化室料(即通過電子進入孔 位71a/、71b)之電子會由於轉向器或靜磁場13化與彎 92045.doc -30- 1263249 向一對射極遮護件1〇23與1〇213。當該電子束經由底板孔徑 l〇6a與l〇6b傳播時,其會在進入離子化室44前減速,係藉 由供應一電壓Va予底板l〇5a與105b(由正極電源供應器115 提供),及電壓Ve至燈絲11(^與11〇1)(由負極電源供應器116 hi、)重要的疋維持電子束能量明顯高於通常用於在束形 成與傳輸區(即,在離子化室44外)離子化所需。這是由於空 間電荷效應嚴重地減少束電流且放大予在低能量之電子束 直徑。因此’需求維持電子束能量在約15keV與5keV範圍 間。 與單一電子源的具體實施例類似,雙重電子源的電壓也 都相對於離子化室44。例如,如果Ve=-0.5千伏特且Va=l 5 千伏特’因此電子束能量係由e(Va-Ve)給定,其中e是電荷 (6·02χ1〇·19庫侖)。因此,在此實例中,電子束了⑽與7〇b係 在2 keV的一能量下形成與偏折,但當進入電子進入孔徑 71a與71b時’其具有僅僅0.5 keV的能量。 下表提供以能量E彎曲一電子束通過9〇度所需磁場b之 近似值。 表1 在本發明中達成一 90度偏折時磁場強度與電子能量之相關 表The bottom plate 1〇5 completes active cooling or forces the air to flow through the bottom plate. In an alternative embodiment, the passive cooling is accomplished by allowing the bottom plate 105 to reach -temperature&apos; via _ to its surroundings for cooling. This steady state temperature depends on the power of the intercepted beam, the surface area and emissivity of the substrate, and the temperature of the surrounding components. When operating a condensable gas that can form a contaminated and particulate film on the exposed cold surface, the bottom plate 1G5 is allowed to operate at higher temperatures (e.g., 250 degrees Celsius). Dual Electron Source Figure 4B shows an alternative embodiment of the optical design of a dual ion source configuration. In this particular embodiment of the invention, a pair of spatially separated electron beams 70a and 70b are formed from a pair of spatially separated heating filaments and 11〇b# and are shaped by the steering or static magnetic fields B 135a and 135b. And (4) 90 degrees of obstruction (in the direction of the vertical paper plane as indicated) into the materialization, to 44, first through a pair of bottom plate apertures l〇6a and 106b and a pair of separate bottom plates 105a and l5b, and then The electrons enter the holes 7a and 71b through one of the ionization chambers 44. The electrons passing through the entire ionization chamber (ie, through electrons entering the holes 71a/, 71b) will be deflected by the diverter or static magnetic field 13 and bend 92045.doc -30-1263249 to a pair of emitter shields 1〇23 1〇213. When the electron beam propagates through the bottom plate apertures 16a and 6b, it decelerates before entering the ionization chamber 44 by supplying a voltage Va to the bottom plates 10a and 105b (provided by the positive power supply 115). And the voltage Ve to the filaments 11 (^ and 11〇1) (by the negative power supply 116 hi), the important enthalpy to maintain the electron beam energy is significantly higher than that usually used in beam formation and transmission (ie, in ionization) Outside chamber 44) required for ionization. This is because the space charge effect severely reduces the beam current and amplifies the electron beam diameter at low energy. Therefore, the demand maintains the electron beam energy between about 15 keV and 5 keV. Similar to the specific embodiment of a single electron source, the voltage of the dual electron source is also relative to the ionization chamber 44. For example, if Ve = -0.5 kV and Va = l 5 kV ', the electron beam energy is given by e (Va - Ve), where e is the charge (6·02 χ 1 〇 19 coulombs). Therefore, in this example, the electron beams (10) and 7〇b are formed and deflected at an energy of 2 keV, but when entering electrons entering the apertures 71a and 71b, they have an energy of only 0.5 keV. The table below provides an approximation of the magnetic field b required to bend an electron beam through 9 degrees of energy. Table 1 Correlation between magnetic field strength and electron energy when a 90 degree deflection is achieved in the present invention

電子強度E 磁場BElectron intensity E magnetic field B

02045.doc -31 - 1263249 圖4时所示的其他元件包括一萃取離子束120a、-源極 靜電遮護件ioia及一對射極遮護件1〇2&amp;與1〇几。此等射極 遮護件102_102b具有二目的:提供遮護防止電磁場,及 提供遮護防止偏離電子或離子束。例如,發射器遮護咖 與i〇2b遮護電子束70a與70b,防止與底板丨〇化與i〇5b及源 極遮護件HH間之電位差㈣的場效應,且作為來自相對電 子射極之偏離電子束堆積處。源極遮護件1〇1遮護離子束 120,防止介於底板10九與1〇513及離子化室料間之電位差產 生的場,且也作為吸收可撞擊離子源元件之與離子。由於 此原因,射極遮護件1023與1〇213及源極遮護件1〇1係由耐燃 至屬構成,諸如鉬或石墨。另一選擇是,更完整地遮護離 子束120a防止磁場B 135a與135b,可藉由製造一鐵磁物質 (諸如磁性不銹鋼)的源極遮護件1 〇 1 a而完成。 圖5B係示範機械細節的一剖開圖,其明示圖仙之内容物 如何併入圖3之離子源中。電子是熱離子化地從燈絲丨丨以與 11〇13發射,且加速至一對相對應之陽極14(^與14帅,形成 電子束70a與70b。此一配置提供數種優勢。首先,能單獨 或一起操作燈絲ll〇a與ll〇b。其次,因為電子束7〇a與7〇b 是在離子化室外部產生,該射極壽命相對於習知配置會延 長許多’因為射極係在植入機真空殼體之低壓環境中,在 其中離子源會駐留,且因為該射極被有效地保護防止離子 轟擊。 來自一對永久磁鐵130a與13Ob及一對磁極裝配件125a與 12513之磁通量,係用以在橫跨磁極裝配件125&amp;與12%末端 92045.doc -32- 1263249 一炙,隙建立均勻磁場以形成束轉向器,電子束7〇a與 ^在、内傳播。磁場135&amp;與135b及電子束70a與70b之電 束b里^匹配,使得電子束7〇a與70b會偏折大約9〇度, 且如所示通入離子化室44内。藉由將電子束70a與观偏折 通過(例如)9G度’在射極與離子化室44間之視線内不含有離 子,因而防止具有能量之帶電微粒轟擊該等射極。 、因為Va相對於離子化室料係正的,當電子束7〇a與7〇b通 過由底板孔徑咖與祕及電子進入孔徑仏與爪界定之 間隙時會減速。因此,底板孔㈣⑽電子進人孔徑7^, 及底板孔徑祕與電子進入孔徑71b之組合與其等間的間 隙,各形成一靜電透鏡(在這種情況下,係一減速透鏡)。使 用一減速透鏡允許調整電子束的離子化能量,而不實質上 影響電子束之產生與偏折。 可藉由一或多個陶瓷間隔件132&amp;與1321)建立間隙,其支 撐各底板105a與105b且作為與來源組塊35間之間距(其係 處於離子化室之電位)。陶瓷間隔件132&amp;與132b提供兼具電 性隔離與機械支撐之功能。請注意到為求明顯,射極遮護 件102與源極遮護件ιοί未顯示於圖3中。 因為電子進入孔徑71a與71b能限制電子束的傳輸,底板 l〇5a與l〇5b可截收具能量電子束70a與7〇b的一明顯部分。 因此底板105a與105b必須主動地冷卻或被動地冷卻。可藉 由將液體冷卻劑(例如水)通過底板完成主動冷卻。或者是, 係藉由允許底板105達到一溫度,經由輕射至其周圍以兹a 卻而完成被動冷卻。此穩態溫度取決於其截收的束之功 Q904S doc -33- 1263249 率、底板表面積與發射率及周圍組件的溫度。當運作能在 曝露之冷表面形成污染與微粒狀薄膜的可冷凝氣體時,允 許該底板105a與105b在較高溫度(如,攝氏200度)操作較具 優勢。 圖5C顯示圖4B與5B中所示來源之電子束形成區的一概 要俯視圖。燈絲1 l〇b在電位Ve(例如,相對於離子化室44係 -0.5 keV(圖3),且陽極140b、磁極裝配件125b、底板i〇5b 與射極遮護件102b均係在正極電位Va(例如1.5 keV)。因 此,電子束能量係2keV。電子束70b藉由在磁極裝配件12讣 之磁極間的間隙内磁場135b偏折,使得電子束7〇1)經過底板 孔徑106b。底板孔徑1〇6&amp;與1061}及電子進入孔徑7;u與71匕 的典型直徑值都是1公分,雖然較大或較小之孔徑均屬可 行。 離子化可能性 圖21示範離子化可能性如何取決於電子衝擊離子化之電 子能量。氨(NH3)係用作示範。可能性係表示為剖面積,以 10平方公分。電子能量(T)係以eV(即電子伏特)為單位。 顯示出的是二組標為BEB(垂直Ip)的理論曲線,及從第一原 則計算出BEB(絕熱IP),及二組實驗值,丨自咖也等(!州) 及Rao與Srivastava(1992)。圖21示範在某些範圍之電子能量 比其他能量範圍產生更多的離子化之事實。一般而言,電 子衝擊能量介於5。eV與50。eV間之剖面會最高’又峰:在約 HV。因此’電子束進人離子化室44的能量是影響本發 明之離子源操作的重要參數。圖4A、4B與圖_5B之特徵 92045.doc -34- 1263249 顯示本發明如何合併電子光學系統用於廣泛控制電子衝擊 離子化旎ΐ,而在電子束形成與離子源偏折區域中幾乎不 變的條件下操作。 溫度控制 本發明之離子源的一特點係使用者控制離子化室溫度, 及來源組塊與閥的溫度。當使可冷凝氣體汽化時此特徵具 有優勢,防止凝結的材料明顯塗佈表面,且經由導管39、 閥100、11G及蒸汽導管324保蒸汽的有效運輸。該來源利 用加熱與冷卻的結合以達到來源溫度的精確控制。分離的 溫度控制提供予汽化器28、停止閥1〇〇與u〇,及來源組塊 35。離子化室44與萃取孔徑板8〇係與電子束7〇交互作用而 被動加熱,且經由來源組塊35與離子化室料間,及離子化 室44與萃取孔徑板8〇間之介面保持穩定操作溫度,使得來 源組塊溫度 &lt;離子化室溫度 &lt;萃取孔徑溫度。外部電子控制 器(諸如一 〇mr〇nE5CK型)係用作溫度控制。加熱係由内嵌 式電阻加熱器提供,由電子控制器控制其加熱電流。冷卻 係由一對流與傳導式氣體冷卻方法的組合提供,如進二步 描述於(例如)共同擁有之PCT申請案us〇l/i8822&amp;在美國 申請案10/1 83,768中’二者均以引用方式併入本文。 圖6不範三個獨立溫度區域的閉路控制系統,顯示出一較 ,具體實施例之方塊圖,其中界定之三溫度區為:^用於 汽化器本體30、區2用於隔離閥1〇〇與11〇、區3用於來源組 塊35。各區可具有—專用之控制器,·例如, 數位控制器。在最簡單的情況中,單獨的加熱元件係用來 92045.doc -35- 1263249 控制超出室溫的溫度,例如在攝氏丨8至攝氏2〇〇度或更高之 間。因此,電阻匣式加熱器可内嵌汽化器本體3〇(加熱器” 與來源組塊35(加熱器3)内,而閥100、11〇能用矽樹脂條加 熱器(發熱器2)纏繞,其中該等電阻元件係線狀或箔片條 帶。圖6中標明Tcn、TcmTC3的三熱電搞能嵌入各三個組 件30、35、l〇〇(i 10)且由三個各自的專用溫度控制器連續地 讀取。溫度控制器與3係使用者以溫度設定點卯卜卯? 與SP3分別程式化。在一具體實施例中,溫度設定點係 SP3&gt;SP2&gt;SP1。例如’在汽化器溫度需求在攝氏30度之情 =下’ SP2可為攝氏5〇度且sp3為7〇度。控制器通常操作使 得當TC讀數不符合設定點時,控制器的比較器視需要起動 冷卻或加熱。例如,在僅用加熱來使溫度改變之情況下, 比較器輪出為零,除非Tcl&lt;spi。當溫度差训如為非線 性函數時,控制器可含有一輸出功率之查詢表,且將適當 =號饋入控制器之加熱器電源供應器’以平順地調整溫 值。一變化加熱器功率的典型方法係藉用電源供 ==寬度調變。此技術可用以在全刻度之ι%與麵 間=電力。此卿控制器通常能夠維持溫度在〇2度内。 磁性輛裝配件 在一具體實施例中.读# _ &amp; ^ 句勻磁場B’ 119在離子化室44 =44 訊所示之永久磁性輛裝配件於離 ^化至44内。請參考W7A,磁通量之產生係藉由 磁鐵(例如,衫处并乂斗 t ^ 釤_鈷磁鐵510a與5l〇b),且經 5術與52_的i料磁極件 口仏過㈣配件5〇〇。電子束70經由 92045.doc -36- 1263249 輛5 20a中的電洞5 3 0a進入,且經由辆5 2Ob中的電洞5 3Ob離 開。圖7C顯示軛裝配件500如何整合到離子化室44。在圖7B 中,離子化室44具有經銑出以容置軛裝配件500與磁極52〇a 與52〇b之部份,使得軛裝配件500之表面550與離子化室44 的表面齊平的。狹窄環面54如與54〇]3的内壁(未顯示),經加 工成為離子化室44的部分,界定了電子進入孔徑7〇a與電子 離開孔徑71,確保軛裝配件500的鐵磁材料未曝露在電子束 中,減少在離子化室44的離子化體積内鐵質金屬污染的任 何可能性。圖7C顯示軛裝配件500沿著含有^平面之剖面&amp; 係水平,y係垂直,不平行於如圖5所示電子束川之傳播方 向)之磁通量線,係以場域模擬軟體計算出。極均勻磁場線 119產生在電子束7〇的傳播容積中。Β,119係直接平行於進 入之電子束70,以限制電子束7〇。 磁性軛裝配件的一不同具體實施例係顯示圖7〇中。此具 體實施例由磁線圈610、一上軛620a與一上磁極63〇a,及一 下軛620b與一下磁極630b組成、一繞線軸心6〇〇連接上軛 620a與下軛620b於一磁電路中,其將磁通量經由上磁極 630a與下磁極630b間之真空間隙送回。磁通量係藉由一通 過線圈610之電流。磁通量係由繞線軸心6〇〇承載至上與下 輛620a與620b。藉由改變線圈電流,磁通量密度(即,磁場 的強度)最終能在真空間隙内變化。 圖7E顯示圖7D之磁性軛裝配件整合至本發明離子源的 一剖開圖(含有Y-Z平面)。圖7E中描述之軛裝配件的幾何形 狀與圖7B中描述的軛裝配件顯著地不同。與圖7β明顯之 92045.doc -37- !263249 別在於軛620a與620b的幾何形狀,其沿著γ方向(與離子束 傳播方向不平行)。圖7Ε的軛裝配件也使用一更簡單的磁電 路,只具有一對返回軛620a與620b,而非在圖7Α中所示之 磁性軛裝配件500利用二對返回軛。線圈61〇係嵌入來源組 塊35中以提供線圈對溫度控制之來源組塊35熱吸收(未顯 示於圖7E中)。 圖7F顯示通過圖7D之磁性扼裝配件的磁通量路徑與磁 通量密度,磁通量洩漏主要限制於離子源之前面,離開離 子束路徑,而在磁極630a與630b間產生一相當均勻的磁通 1密度’其中駐留在含有電子束70之離子化容積内。以一 每圈3000安培的線圈電流,能在沿著z方向(結合上磁極 630a與下磁極630b中心的線)產生大約1〇〇高斯的一磁通量 密度。藉由控制經由線圈610之電流,可沿著z產生一從零 到100高斯之使用者自定磁通量密度。現請參考圖7G,磁通 量線置於X-Z平面,在離子化區内且平行含有離子萃取板 80’與離子萃取孔徑81,之平面。磁通量之2分量在此區域非 常均勻地導入離子萃取孔徑8 Γ。離子萃取孔徑8丨,沿z定位 在紙張之平面中。 圖7H顯示納入在電子搶之底板105外的高滲透性磁性遮 護件640,以防止由磁極630a產生之磁場穿入區65〇,其中 電子束被導引通過90度。如果沒有遮護件64〇,沿著垂直或 Y方向之偏離磁場會造成電子束在側向或X方向不需要之 偏折,造成電子束於進入離子化室44前在軌道660中的一失 誤0 92045.doc -38- 1263249 藉由將圖7H中所之圖7B的磁性軛裝配件納入圖4A之離 子源中’(例如)應瞭解限制磁場的最終使用有助於消除分散 之空間電荷力’其將在減速度後使電子束7〇爆開,即當其 進入離子化室44。此具有使電子束7〇有較高電荷密度之優 勢,因此靠近與離子萃取孔徑81鄰近的較佳離子化區的有 較高的離子密度,導致增加離子電流12〇。進一步增益之實 現可藉由電源供應器117偏壓束堆積處72至一相對於離子 化室44之負電壓々。例如,如果Vr^Vc,則可建立一反射模 式,因此在電子束70甲包含的電子會束堆積處72反射,增 加電子的有效路徑長《。在充分低的電子能量,限制料 B’ 119的出現造成被反射之電子沿著B,施行一螺旋形軌 道。我們注意到B 135與B,119方向係正交的,B 135使電子 束70偏折進人離子化室44,而b,U9限制產生之束;因此磁 性遮護件118係加至底板105的底部。磁性 渗透性金屬製成,以防止㈣場混合;此分離電子=的同 路徑進入磁場的兩個區域··在離子化室外44,且^子: 產生氳化硼蔟離子的方法 在此描述之方法可被視為本發明離子源的正常的操作 一的差別係使用者可選擇該等來源參數02045.doc -31 - 1263249 Other elements shown in Figure 4 include an extracted ion beam 120a, a source electrostatic shield ioia, and a pair of emitter shields 1〇2 &amp; These emitter shields 102-102b serve two purposes: to provide shielding against electromagnetic fields, and to provide shielding against off-electrons or ion beams. For example, the emitter shields the coffee and the electron beam 70a and 70b to prevent the field effect of the potential difference (4) between the bottom plate and the source 遮Hb and the source visor HH, and as a relative electron emission. Extremely deviated from the electron beam accumulation. The source shield 1 遮 1 shields the ion beam 120 from the field generated by the potential difference between the substrate 10 9 and 1 〇 513 and the ionization chamber, and also serves as an absorption ion that can strike the ion source element. For this reason, the emitter shields 1023 and 1 213 and the source shields 1 〇 1 are composed of flame resistant to genus, such as molybdenum or graphite. Alternatively, more complete shielding of the ion beam 120a from the magnetic fields B 135a and 135b can be accomplished by fabricating a source shield 1 〇 1 a of a ferromagnetic material, such as magnetic stainless steel. Figure 5B is a cross-sectional view of an exemplary mechanical detail showing how the contents of the Figure are incorporated into the ion source of Figure 3. The electrons are thermally ionically emitted from the filament 丨丨 to 11 〇 13 and accelerated to a pair of corresponding anodes 14 (^ and 14 are formed to form electron beams 70a and 70b. This configuration provides several advantages. First, The filaments ll 〇 a and ll 〇 b can be operated individually or together. Secondly, since the electron beams 7 〇 a and 7 〇 b are generated outside the ionization chamber, the lifetime of the emitter is prolonged relative to the conventional configuration because of the emitter In the low pressure environment of the implanter vacuum housing, where the ion source will reside, and because the emitter is effectively protected against ion bombardment. From a pair of permanent magnets 130a and 13Ob and a pair of pole assemblies 125a and 12513 The magnetic flux is used to establish a uniform magnetic field at the cross-pole assembly 125&amp; and 12% end 92045.doc -32-1263249 to form a beam redirector, and the electron beams 7〇a and ^ propagate in and out. The magnetic fields 135 &amp; 135b and the electron beams b of the electron beams 70a and 70b are matched so that the electron beams 7a and 70b are deflected by about 9 degrees and are introduced into the ionization chamber 44 as shown. Electron beam 70a and viewing deflection through (for example) 9G degrees 'in the emitter and ionization There is no ion in the line of sight between chambers 44, thus preventing charged particles with energy from bombarding the emitters. Because Va is positive with respect to the ionization chamber, when electron beams 7〇a and 7〇b pass through the aperture of the substrate When the coffee and the secret enters the gap between the aperture and the claw, the speed will be decelerated. Therefore, the hole in the bottom plate (4) (10) enters the aperture 7^, and the combination of the aperture of the bottom plate and the electron entrance aperture 71b and the space therebetween form an electrostatic A lens (in this case, a deceleration lens). The use of a deceleration lens allows adjustment of the ionization energy of the electron beam without substantially affecting the generation and deflection of the electron beam. One or more ceramic spacers can be used. 132 &amp; 1321) establishes a gap that supports each of the bottom plates 105a and 105b and serves as a distance from the source block 35 (which is at the potential of the ionization chamber). The ceramic spacers 132&amp; and 132b provide both electrical and mechanical support. Please note that for the sake of clarity, the emitter shield 102 and the source shield ιοί are not shown in FIG. Since the electron entry apertures 71a and 71b can limit the transmission of the electron beams, the bottom plates 10a and 5b can intercept a significant portion of the energy electron beams 70a and 7b. Therefore, the bottom plates 105a and 105b must be actively cooled or passively cooled. Active cooling can be accomplished by passing a liquid coolant (e.g., water) through the bottom plate. Alternatively, passive cooling is accomplished by allowing the bottom plate 105 to reach a temperature and passing lightly to its surroundings. This steady-state temperature depends on the power of the intercepted beam Q904S doc -33-1263249, the surface area and emissivity of the substrate, and the temperature of the surrounding components. Allowing the bottom plates 105a and 105b to operate at higher temperatures (e.g., 200 degrees Celsius) is advantageous when operating to form a condensable gas that contaminates the particulate film on the exposed cold surface. Fig. 5C shows a schematic plan view of the electron beam forming region of the source shown in Figs. 4B and 5B. The filament 1 l〇b is at a potential Ve (for example, -0.5 keV with respect to the ionization chamber 44 (Fig. 3), and the anode 140b, the magnetic pole assembly 125b, the bottom plate i〇5b, and the emitter shield 102b are both attached to the positive electrode. The potential Va (e.g., 1.5 keV). Therefore, the electron beam energy is 2 keV. The electron beam 70b is deflected by the magnetic field 135b in the gap between the magnetic poles of the magnetic pole assembly 12, so that the electron beam 7〇1) passes through the bottom plate aperture 106b. The bottom plate apertures 1〇6& and 1061} and the electron entry aperture 7; u and 71匕 are typically 1 cm in diameter, although larger or smaller apertures are acceptable. Ionization Possibilities Figure 21 demonstrates how the possibility of ionization depends on the electron energy of electron impact ionization. Ammonia (NH3) is used as an example. The probability is expressed as a sectional area of 10 square centimeters. The electron energy (T) is in units of eV (ie, electron volts). Shown are two sets of theoretical curves labeled BEB (vertical Ip), and BEB (adiabatic IP) calculated from the first principle, and two sets of experimental values, 丨 咖 咖 ! (! State) and Rao and Srivastava ( 1992). Figure 21 illustrates the fact that electrons in some ranges produce more ionization than other energy ranges. In general, the electron impact energy is between 5. eV and 50. The profile between eV will be the highest 'peak again: at about HV. Therefore, the energy of the electron beam entering the ionization chamber 44 is an important parameter affecting the operation of the ion source of the present invention. 4A, 4B and _5B feature 92045.doc -34-1263249 show how the present invention incorporates an electro-optical system for extensive control of electron impact ionization enthalpy, with little in electron beam formation and ion source deflection regions Operate under varying conditions. Temperature Control One feature of the ion source of the present invention is that the user controls the temperature of the ionization chamber and the temperature of the source block and valve. This feature is advantageous when vaporizing the condensable gas, preventing the condensed material from significantly coating the surface and maintaining efficient vapor transport via conduit 39, valves 100, 11G and steam conduit 324. This source uses a combination of heating and cooling to achieve precise control of the source temperature. Separate temperature control is provided to vaporizer 28, stop valves 1〇〇 and u〇, and source block 35. The ionization chamber 44 interacts with the extraction aperture plate 8 and the electron beam 7〇 to be passively heated, and is maintained between the source block 35 and the ionization chamber, and between the ionization chamber 44 and the extraction aperture plate 8 The operating temperature is stabilized such that the source block temperature &lt; ionization chamber temperature &lt; extraction pore temperature. An external electronic controller (such as a 〇mr〇nE5CK type) is used for temperature control. The heating system is provided by an in-line resistive heater controlled by an electronic controller. The cooling system is provided by a combination of a pair of flow and a conductive gas cooling method, as described in, for example, the co-owned PCT application us〇l/i8822& in U.S. Application 10/1 83,768 The citations are incorporated herein. Figure 6 is a closed circuit control system of three independent temperature zones, showing a block diagram of a specific embodiment, wherein the three defined temperature zones are: ^ for the vaporizer body 30, and zone 2 for isolating the valve 1 With 11〇, zone 3 is used for source block 35. Each zone may have a dedicated controller, for example, a digital controller. In the simplest case, a separate heating element is used to control the temperature outside of room temperature, for example, between 8 and Celsius or higher. Therefore, the resistance 匣 heater can be embedded in the carburetor body 3 (heater) and the source block 35 (heater 3), and the valves 100, 11 缠绕 can be wound with the resin strip heater (heater 2). Wherein the resistive elements are linear or foil strips. The three thermoelectrics labeled Tcn and TcmTC3 in Fig. 6 can be embedded in each of the three components 30, 35, l〇〇(i 10) and consist of three respective dedicated temperatures. The controller reads continuously. The temperature controller and the 3 series user are programmed separately with the temperature set point and SP3. In a specific embodiment, the temperature set point is SP3 &gt; SP2 &gt; SP1. For example, 'in the vaporizer The temperature requirement is 30 degrees Celsius = the lower 'SP2 can be 5 degrees Celsius and the sp3 is 7 degrees. The controller is usually operated so that when the TC reading does not meet the set point, the controller's comparator starts cooling or heating as needed. For example, in the case where only the heating is used to change the temperature, the comparator turns out to zero unless Tcl&lt;spi. When the temperature difference is a non-linear function, the controller may contain a look-up table of output power, and Feed the appropriate = number to the heater power supply of the controller 'To adjust the temperature value smoothly. A typical method of changing the heater power is to use the power supply for == width modulation. This technique can be used at the full scale between the % and the surface = power. This controller is usually able to maintain The temperature is within 〇2 degrees. The magnetic vehicle assembly is in a specific embodiment. Read # _ &amp; ^ sentence uniform magnetic field B' 119 in the ionization chamber 44 = 44 The permanent magnetic vehicle assembly shown in the ionization To 44. Please refer to W7A, the magnetic flux is generated by magnets (for example, shirts and buckets t ^ 钐 _ _ cobalt magnets 510a and 5l 〇 b), and 5 and 52 _ i magnetic pole pieces Through the (4) accessory 5〇〇, the electron beam 70 enters through the hole 5 3 0a in the 9545.doc -36-1263249 5 20a, and exits through the hole 5 3Ob in the 5 2Ob. Figure 7C shows the yoke fitting 500 How to integrate into the ionization chamber 44. In Fig. 7B, the ionization chamber 44 has a portion that is milled to accommodate the yoke fitting 500 and the magnetic poles 52A and 52B such that the surface 550 of the yoke fitting 500 is The surface of the ionization chamber 44 is flush. The narrow annulus 54 is machined to form part of the ionization chamber 44, such as the inner wall (not shown) of 54〇]3. The electron entry aperture 7a and the electron exit aperture 71 are defined to ensure that the ferromagnetic material of the yoke assembly 500 is not exposed to the electron beam, reducing any likelihood of ferrous metal contamination within the ionization volume of the ionization chamber 44. Fig. 7C shows the magnetic flux lines of the yoke fitting 500 along the section containing the plane &amp; horizontal, y is vertical, not parallel to the propagation direction of the electron beam as shown in Fig. 5, calculated by the field simulation software. The extremely uniform magnetic field lines 119 are generated in the propagation volume of the electron beam 7〇. Β, 119 is directly parallel to the incoming electron beam 70 to limit the electron beam 7〇. A different embodiment of the magnetic yoke assembly is shown in Figure 7A. The specific embodiment comprises a magnetic coil 610, an upper yoke 620a and an upper magnetic pole 63〇a, and a lower yoke 620b and a lower magnetic pole 630b. A winding axis 6〇〇 connects the upper yoke 620a and the lower yoke 620b to a magnetic field. In the circuit, the magnetic flux is returned via the vacuum gap between the upper magnetic pole 630a and the lower magnetic pole 630b. The magnetic flux is passed through a current through the coil 610. The magnetic flux is carried by the winding axis 6〇〇 to the upper and lower 620a and 620b. By varying the coil current, the magnetic flux density (i.e., the strength of the magnetic field) can eventually vary within the vacuum gap. Figure 7E shows a cross-sectional view (containing the Y-Z plane) of the magnetic yoke assembly of Figure 7D integrated into the ion source of the present invention. The geometry of the yoke assembly depicted in Figure 7E is significantly different than the yoke assembly depicted in Figure 7B. Also apparent from Fig. 7β is 92045.doc -37-!263249, which is the geometry of the yokes 620a and 620b, which are along the gamma direction (not parallel to the ion beam propagation direction). The yoke assembly of Fig. 7A also uses a simpler magnetic circuit having only a pair of return yokes 620a and 620b, rather than the magnetic yoke assembly 500 shown in Fig. 7A, which utilizes two pairs of return yokes. The coil 61 is embedded in the source block 35 to provide heat absorption of the coil-to-temperature controlled source block 35 (not shown in Figure 7E). Figure 7F shows the flux path and magnetic flux density through the magnetic armor assembly of Figure 7D. Magnetic flux leakage is primarily limited to the front of the ion source, leaving the ion beam path, creating a fairly uniform flux 1 density between the poles 630a and 630b. It resides within the ionization volume containing electron beam 70. With a coil current of 3000 amps per revolution, a magnetic flux density of about 1 〇〇 Gauss can be generated in the z direction (the line connecting the upper magnetic pole 630a and the lower magnetic pole 630b center). By controlling the current through coil 610, a user-defined magnetic flux density from zero to 100 Gauss can be generated along z. Referring now to Figure 7G, the magnetic flux lines are placed in the X-Z plane, in the ionization zone and in parallel with the plane of the ion extraction plate 80' and the ion extraction aperture 81. The 2 components of the magnetic flux are introduced into the ion extraction aperture 8 非 very uniformly in this region. The ion extraction aperture is 8 丨 and is positioned along z in the plane of the paper. Fig. 7H shows the high permeability magnetic shield 640 incorporated outside the bottom plate 105 of the electronic grab to prevent the magnetic field generated by the magnetic pole 630a from penetrating into the region 65, wherein the electron beam is guided through 90 degrees. Without the shield 64, the offset magnetic field along the vertical or Y direction would cause unwanted deflection of the electron beam in the lateral or X direction, causing an error in the beam 660 before entering the ionization chamber 44. 0 92045.doc -38-1263249 by incorporating the magnetic yoke assembly of Figure 7B in Figure 7H into the ion source of Figure 4A' (for example) it should be understood that limiting the end use of the magnetic field helps to eliminate the spatial charge force of the dispersion 'It will explode the electron beam 7 减 after deceleration, ie when it enters the ionization chamber 44. This has the advantage of having a higher charge density for the electron beam 7 and thus has a higher ion density near the preferred ionization zone adjacent to the ion extraction aperture 81, resulting in an increased ion current of 12 〇. Further gain can be achieved by the power supply 117 biasing the beam stack 72 to a negative voltage 相对 relative to the ionization chamber 44. For example, if Vr^Vc, a reflection mode can be established, so that it is reflected at the electron beam stack 72 contained in the electron beam 70A, increasing the effective path length of the electrons. At sufficiently low electron energies, the presence of the limiting material B' 119 causes the reflected electrons to follow a B, performing a spiral track. We note that B 135 is orthogonal to the B, 119 direction, B 135 deflects the electron beam 70 into the human ionization chamber 44, and b, U9 limits the resulting beam; thus the magnetic shield 118 is applied to the bottom plate 105. bottom of. Made of magnetically permeable metal to prevent (four) field mixing; this separated electron = the same path into the two regions of the magnetic field · in the ionization chamber 44, and ^: The method of generating bismuth boride bismuth ions is described herein The method can be considered as the difference in the normal operation of the ion source of the present invention. The user can select the source parameters.

與其他操作模式唯一 (饋入材料、饋入氣體 來源組件溫度)之值 源5固病+八观日、哈 92045.doc -39- 1263249 /飞壓力。為產生約i sccm十八硼烷蒸汽32之有用質量流, 汽化器28可維持在攝氏90度。圖8A顯示二變量對汽化器溫 度的一函數圖表:汽化器壓力在右側垂直軸,及傳送到類 似圖1D所述高電流植入機之分析法拉第杯的離子電流。請 ί考圖3 A化器壓力係由一與閥11 〇聯通之電容式壓力計 60測i。典型操作參數係:閥(100與110)溫度=120°c,來 源組塊35溫度= 12(rc、電子離子化能量=1 “丫、電子束電 流&quot;7〇毫安培。此係藉由設定Vc=-1千伏特、Va=1.3千伏特、 Vr--1千伏特’及燈絲發射電流=16〇毫安培而達成。 圖8b不範BuH22之分子結構,且顯示H原子(亮球)與硼原 子(暗球)的相對位置。 圖9顯示一在類似產生圖$ a的條件下收集之十八硼烧質 量頻譜’係在與圖1D中揭露的簇離子植入系統類似。該可 變解析孔控270被設定至一高質量解析度,其選擇一四amu 寬之離子束240至下游的法拉第杯。圖1〇顯示負與正離子的 十八侧烧質量頻譜,係在類似用以產生圖9 a資料之條件下 收集。所有植入機電源供應器的極性反以在負與正離子間 轉換,其係彼此相隔數分鐘内收集且記錄在相同的圖表 上。B18Hx+與B18Hx_之峰值為210 AMU,建議分別是B18H16+ 與3181116·離子之最可能的化學式。圖11A係在與用以收集圖 9之資料類似之條件下收集,但是具有解析孔徑27〇設定以 允許約18 AMU通過下游,允許較高許多的Βΐ8Ηχ+電流。然 而,缺少在峰值的結構證實減少之質量解析度。圖丨丨B是在 最咼質量解析度處收集的細節。以解析孔徑設定於&lt; i毫 92045.doc -40- 1263249 米,只有—單一AMU通過下游至法拉第。目此,由一amu 分隔之個別氫化硼峰值係明顯可見。圖12顯示在離子束沒 有任何減速度下在法拉第之束電流相對於萃取電壓之圖 表,係在圖i i A之低質量解析度收集。圖i 3顯示圖i 2的資料 轉換成原子硼電流相對於有效植人能量,作為與單體石朋植 入之比較方法。原子硼電流= 18χ十八硼烷法拉第電流,且 有效植入能量=11/210 X萃取電壓。此等電流是比習知單體 删植入目前可達到大許多倍,特別是沒有離子減速度。 為了特徵化用於半導體之硼摻雜的Βΐ8Ηχ+的植入輪廓, 一商業用矽晶圓被置入氟化氫溶液以移走任何天然氧化 物,且在類似圖1D揭露的一簇離子植入系統中植入。一劑 量為每平方公分2Χ10〗6之硼係藉由植入一劑量為每平方公 分1.1&gt;&lt;10152Βΐ8Ηχ+而傳送。Βΐ8Ηχ+離子能量在植入期間是 20keV,導致一有效硼植入能量為每硼原子約ι “ν。圖 顯示由SIMS(次要離子光譜質譜儀)決定之剛植人蝴輪廊。 輪廓的峰值係約50埃,其充分吻合由TRIMw keV石朋植入 ϋ十t之5 8埃的投影範圍。 N與P型淺接面的形成 此方法的一重要應用係使用簇離子楂入用於形成與 型淺接面作為CMOS製造程序的一部分。CM〇s是目前使用 中之主要數位積體電路技術,並且其名字代表在相同晶片 上形成兼具N通道與P通道MOS電晶體(互補sM〇s : N與 P) CMOS的成功在於電路設計者能使用相對電晶體的互補 本貝以產生一更好的電路,特別是比另一選擇之技術汲 •41 - 1263249 取較少之有效電力。應注意!^與])的術語係基於負與正⑺型 半導體具有負的大多數載子,且反之亦然),且^^通道與P 通道免晶體是彼此複製,而各區的型式(極性)反轉。在相同 基板上製造兼具二型式電晶體需要依次植入一 雜質而 後p型雜質’ g時以—光阻遮護層保護另—型裝置。應注 W每型電晶體均要求兩極性之區以正確地操作,但是 形成淺接面的植入是與電晶體相同型式:N型淺接面植入N 通道電晶體,而P型淺接面植入P通道電晶體。此製程之實 例係顯示於圖14與15。特別是,圖14示範一經由^^型簇植入 88用於形成N通道汲極延伸89的方法,而圖15顯示藉由p型 簇植入91形成P通道汲極延伸9〇。應注意的是,义與^型電 晶體二者需要類似幾何形狀之淺接面,且因此沁型與卜型 蔟植入對形成先進CMOS結構具有優勢。 此方法應用的一實例係顯示在圖16中形成一 NM〇s電晶 體的情況中。此圖表顯示半導體基板4丨,其經歷製造一半 V體裝置之剷端處理步驟。例如,該結構由一 n型半導體夷 板41組成,製程經過P井43、溝渠隔離42與閘極堆疊組成 44、45步驟。用於形成該閘極堆疊、p井與溝渠隔離的一範 例性製程係揭示於2003年6月18日申請之共同審理專利申 明案PCT/US03/19085號,標題為:「製造一半導體裝置的半 導體裝置與方法(A semiconductor Device and Method of Fabricating a Semiconductor Device)」,事務所槽案號第 211843/00030號。 P -井43與N型基板412形成一接面’其提供接面隔離用於 92045.doc -42- 1263249 在《亥井43中的電晶體。溝渠隔離42提供在N-與P-井間提供 側向介電質隔離(即,在全部CM0S結構中)。閘極堆疊經建 構,具有一閘極氧化層44質一多晶矽閘極電極45,經圖案 化以形成電晶體閘極堆疊。應用一光阻46且圖案化,使得 用於NMOS電晶體的區域被曝露,但基板4丨之其他地區受遮 4。在應用光阻46後,基板41備便用於汲極延伸植入,其 係裝置製造過程所需要的最淺摻雜層。用於〇13微米技術 節點之前緣裝置的典型製程需求係一介於i “¥與2 kev之 砷植入能量,及一砷劑量為每平方公分5χ1〇14。簇離子束 47(在情況中為如AhH^)在半導體基板處被引導,通常使得 離子束之傳播方向垂直於其基板,以避免被閘極堆疊遮 蔽。AS4Hx+簇的能量應該是需求之As+植入能量的四倍(例 如,介於4 kev與8 keV間。當撞擊基板時簇會游離,且摻 雜劑原子到達靠近半導體基板表面的一淺層中停留,其形 成汲極延伸區48。應注意,相同的植入進入該閘極電極的 的表面層。圖16中所述製程因此係本發明一重要應用。 此方法之應用的一進一步實例顯示於圖17:深源極/汲極 區的形成。此圖表顯示圖16之半導體基板41在執行製造一 半導體裝置的進-步製程後。額外之製程步驟包括形成一 氧化物觸點區5 1與形成間隔件52於閘極堆疊之側壁。氧化 物觸點區51H以來㈣曝露之基板區、問極電極49的頂 部及可能曝露的閘極介電質邊緣的一氧化物薄芦 矽)。氧化物觸點區51通常係熱生長至5至1〇奈米厚度。另 -方面,間隔件52是介電質、或二氧化矽、氮化矽物或其 92045.doc -43- 1263249 組口的ιι域’其駐留於閘極堆疊的側面上且功能為隔離 ^間極電極。間隔件也作為-用於源極/㈣植人之對準引 導(如’ 54)’其須從閘極邊緣往後分佈以使電晶體適當地操 作間^件52係藉由沈積二氧化石夕及/或氮化石夕層,接著係 電水餘刻以在閘極堆疊之側面上留下一剩餘層,㈤時從源 極/沒極區清除介電質。 在蝕刻間隔件52後,應用光阻層53且圖案化以曝露待植 入的電晶體,在此例子中為-NMQS電晶體。其次,施行形 成源/、/及區55的離子植入。因為此植入需要一在低能量之 咼劑ϊ,此為提出簇植入方法的正確應用。用於〇13微米 技術節點之典型植入參數是在一珅劑量為每平方公分 5x10日才每砷原子(54)約6 keV,所以其需要24 keV,每平 方公分1.25 X l〇15AS4Hx+植入;12 keV,每平方公分 2.5x10 As2Hx+植入;或6keV,每平方公分5x1〇15as+植入。 如圖16所示,源極與汲極區55係由此植入形成。這些地區 提供在電路互連線(在製程中稍後形成)與由汲極延伸48界 定之本質電晶體間高導電率之連接,該汲極延伸48結合通 道區56與閘極堆疊44、45。閘極電極45可曝露於此植入(如 圖不),且如果是那樣,源極/汲極植入為閘極電極提供該主 要摻雜來源。此在圖17中顯示為多晶矽摻雜層57。 顯示PMOS汲極延伸148與PMOS源極與汲極區155之形成 的洋圖分別顯示於圖1 8與19中。結構與製程在圖1 7與1 8中 是相同的,但摻雜劑型式反轉。在圖丨8中,PM〇s汲極延伸 148係删簇植入147的植入而形成。用於〇13微米技術節點 92045.doc -44- 1263249 之典型植入參數是在一删劑量為每平方公分5 X 1014時每石朋 原子約5 0 0 eV植入能量。因此,在--h八石朋烧劑量為每平 方公分2·8χ1013時,在211 AMU的一 B18HX+植入將在9.6 keV。 圖19顯示PMOS源極與汲極區148之形成,再次藉由一p型簇 離子束154的植入,諸如十八硼烷。對於〇13微米技術節 點,此植入之典型植入參數是在一硼劑量為每平方公分 5xl〇15(即,在2·8χ1〇14之38.4keV十八硼烷)時每硼原子約2 keV。The only value with other operating modes (feeding material, feeding gas source component temperature) source 5 solid disease + Baguanri, Ha 92045.doc -39-1263249 / flying pressure. To produce a useful mass flow of about i sccm octadecaborane vapor 32, vaporizer 28 can be maintained at 90 degrees Celsius. Figure 8A shows a graph of two variables versus carburetor temperature: vaporizer pressure on the right vertical axis, and ion current delivered to an analytical Faraday cup similar to the high current implanter of Figure 1D. Please refer to Figure 3. The pressure of the A regulator is measured by a capacitive pressure gauge 60 connected to the valve 11 i. Typical operating parameters are: valve (100 and 110) temperature = 120 ° c, source block 35 temperature = 12 (rc, electron ionization energy = 1 "丫, electron beam current" "7 〇 milliamperes. This is by Set Vc = -1 kV, Va = 1.3 kV, Vr--1 kV' and filament emission current = 16 〇 mA. Figure 8b Molecular structure of BuH22, and shows H atom (bright ball) The relative position to the boron atom (dark sphere). Figure 9 shows that the mass spectrum of the 18-boron burn collected under conditions similar to the generation of the map $a is similar to the cluster ion implantation system disclosed in Figure 1D. The variable resolution aperture 270 is set to a high quality resolution that selects a four amu wide ion beam 240 to the downstream Faraday cup. Figure 1 shows the eighteen side burn mass spectrum of negative and positive ions, similarly used. Collected under the conditions of Figure 9. A. The polarity of all implanter power supplies is reversed between negative and positive ions, which are collected within minutes of each other and recorded on the same chart. B18Hx+ and B18Hx_ The peak value is 210 AMU, and it is recommended that the B18H16+ and 3181116 ions be the best. Figure 11A is collected under conditions similar to those used to collect Figure 9, but with a resolution aperture of 27 〇 set to allow approximately 18 AMU to pass downstream, allowing for a much higher Βΐ8Ηχ+ current. However, lack of peak The structure confirms the reduced mass resolution. Figure B is the detail collected at the final mass resolution. The resolution aperture is set at &lt; i 92045.doc -40 - 1263249 m, only - a single AMU passes downstream Faraday. In this way, the individual boron hydride peaks separated by an amu are clearly visible. Figure 12 shows a plot of the beam current in Faraday versus the extraction voltage without any deceleration of the ion beam, as shown in Figure ii A. Figure i 3 shows that the data of Figure i 2 is converted into atomic boron current relative to the effective implanted energy, as a comparison with the single stone implant. Atomic boron current = 18 χ octadecaborane Faraday current, and effective implantation Energy = 11/210 X extraction voltage. These currents are many times larger than conventional monomer implants, especially without ion deceleration. The boron-doped Βΐ8Ηχ+ implant profile, a commercial silicon wafer is placed in a hydrogen fluoride solution to remove any native oxide, and implanted in a cluster of ion implantation systems similar to those disclosed in Figure 1D. Boron at a dose of 2Χ10 66 per square centimeter is delivered by implanting a dose of 1.1&gt;&lt;10152Βΐ8Ηχ+ per square centimeter. Βΐ8Ηχ+ ion energy is 20 keV during implantation, resulting in an effective boron implantation energy of About ι "ν per boron atom. The figure shows the newly implanted butterfly porch determined by SIMS (Secondary Ion Spectrometry). The peak of the profile is about 50 angstroms, which is fully consistent with the projection range of 5 8 angstroms implanted by TRIMw keV. Formation of N- and P-type shallow junctions An important application of this method is the use of cluster ion intrusion for forming shallow junctions as part of a CMOS fabrication process. CM〇s is the main digital integrated circuit technology currently in use, and its name stands for the formation of N-channel and P-channel MOS transistors (complementary sM〇s: N and P) CMOS on the same wafer. The success lies in circuit design. The ability to use a complementary die relative to the transistor to produce a better circuit, especially less than the alternative technology 汲•41 - 1263249 takes less effective power. Should pay attention! The term ^ and ]) are based on negative and positive (7) type semiconductors having negative majority carriers, and vice versa), and ^^ channel and P channel free crystals are replicated with each other, and the pattern (polarity) of each region is reversed. turn. The fabrication of a two-type transistor on the same substrate requires the implantation of an impurity in sequence and the p-type impurity 'g to protect the other device with a photoresist layer. It should be noted that each type of transistor requires two polar regions to operate correctly, but the shallow junction is implanted in the same pattern as the transistor: N-type shallow junction implanted with N-channel transistor, and P-type shallow connection The surface is implanted with a P-channel transistor. An example of this process is shown in Figures 14 and 15. In particular, Figure 14 illustrates a method for forming an N-channel drain extension 89 via a cluster implant 88, and Figure 15 shows a P-channel drain extension 9 by a p-type cluster implant 91. It should be noted that both the sense and the type of transistor require a shallow junction of similar geometry, and thus the 沁-type and the 蔟-type implant have advantages for forming an advanced CMOS structure. An example of the application of this method is shown in the case where an NM〇s electroforming body is formed in Fig. 16. This chart shows a semiconductor substrate 4 that undergoes a shovel end processing step to fabricate a half V body device. For example, the structure consists of an n-type semiconductor slab 41 which is formed by a P-well 43, a trench isolation 42 and a gate stack 44, 45 steps. An exemplary process for forming the gate stack, p-well and trench isolation is disclosed in co-pending patent application No. PCT/US03/19085, filed on June 18, 2003, entitled: Manufacture of a semiconductor device A Semiconductor Device and Method of Fabricating a Semiconductor Device, Office Slot No. 211843/00030. The P-well 43 forms a junction with the N-type substrate 412 which provides junction isolation for the transistor in the "Hai 43" 92045.doc - 42-1263249. Ditch isolation 42 provides lateral dielectric isolation between the N- and P-wells (i.e., in all CMOS structures). The gate stack is constructed to have a gate oxide layer 44 and a polysilicon gate electrode 45 patterned to form a transistor gate stack. A photoresist 46 is applied and patterned such that the area for the NMOS transistor is exposed, but the other areas of the substrate 4 are exposed. After application of the photoresist 46, the substrate 41 is ready for use in a drain extension implant, which is the shallowest doped layer required for the fabrication process. The typical process requirements for the leading edge device of the 〇13 micron technology node are between “ and 2 kev of arsenic implant energy, and an arsenic dose of 5χ1〇14 per square centimeter. Cluster ion beam 47 (in the case of If the AhH^) is guided at the semiconductor substrate, the direction of propagation of the ion beam is usually perpendicular to its substrate to avoid being shielded by the gate stack. The energy of the AS4Hx+ cluster should be four times the energy of the As+ implant required (for example, Between 4 kev and 8 keV, the cluster will be free when striking the substrate, and the dopant atoms will stay in a shallow layer near the surface of the semiconductor substrate, which forms a drain extension 48. It should be noted that the same implant enters the The surface layer of the gate electrode. The process described in Figure 16 is therefore an important application of the present invention. A further example of the application of this method is shown in Figure 17: Formation of the deep source/drain regions. The semiconductor substrate 41 is subjected to a further process for fabricating a semiconductor device. The additional processing steps include forming an oxide contact region 51 and forming spacers 52 on the sidewalls of the gate stack. Since the oxide contact region 51H (d) The exposed substrate region, the top of the interrogator electrode 49, and the possibly exposed thin oxide reed of the gate dielectric edge. The oxide contact region 51 is typically thermally grown to a thickness of 5 to 1 nanometer. In another aspect, the spacer 52 is a dielectric, or ruthenium dioxide, tantalum nitride or an ιι field of a group of 92045.doc -43-1263249, which resides on the side of the gate stack and functions as an isolation ^ The interpole electrode. The spacer is also used as a source/(four) implant alignment guide (eg '54)' which must be distributed from the gate edge to allow the transistor to properly operate the device 52. Depositing a layer of dioxide and/or a layer of nitride, followed by a residual water to leave a remaining layer on the side of the gate stack, and (5) removing the dielectric from the source/nopole region. After the member 52, the photoresist layer 53 is applied and patterned to expose the transistor to be implanted, in this case -NMQS transistor. Secondly, ion implantation to form the source/, / and region 55 is performed. Into the need for a low-energy sputum sputum, this is the correct application of the proposed cluster implantation method. For the 〇 13 micron technology node Typical implant parameters are about 6 keV per arsenic atom (54) at a dose of 5x10 angstroms per square centimeter, so it requires 24 keV, 1.25 X l〇15AS4Hx+ implants per square centimeter; 12 keV, 2.5 centimeters per square centimeter X10 As2Hx+ implant; or 6keV, 5x1〇15as+ implanted per square centimeter. As shown in Figure 16, the source and drain regions 55 are implanted. These areas are provided in circuit interconnections (slightly in the process) After being formed) a high conductivity connection between the intrinsic transistors defined by the drain extension 48, the drain extension 48 is coupled to the channel region 56 and the gate stack 44, 45. The gate electrode 45 can be exposed to the implant (eg, Figure 5), and if so, the source/drain implant provides the primary source of doping for the gate electrode. This is shown in Figure 17 as a polysilicon doped layer 57. The ocean view showing the formation of the PMOS drain extension 148 and the PMOS source and drain regions 155 is shown in Figures 18 and 19, respectively. The structure and process are the same in Figures 17 and 18, but the dopant pattern is reversed. In Fig. 8, a PM 〇s 汲 延伸 extension 148 is formed by implantation of a debridement implant 147. A typical implant parameter for the 〇13 micron technology node 92045.doc -44-1263249 is about 5,000 eV implant energy per stone friend atom at a dose of 5 X 1014 per square centimeter. Therefore, at a dose of 2.8 mils per square centimeter, a B18HX+ implant at 211 AMU will be at 9.6 keV. Figure 19 shows the formation of a PMOS source and drain region 148, again by implantation of a p-type cluster ion beam 154, such as octadecaborane. For the 〇13 micron technology node, the typical implantation parameter for this implant is about 5 x 1 平方 15 per square centimeter of boron (ie, 38.4 keV octadecane at 2·8χ1〇14). keV.

一般而§,單獨離子植入對有效半導體接面的形成來說 係不足夠:需要一熱處理以電性活化植入的摻雜劑。在植 入以後,半導體基板的晶體結構嚴重地受損(基板原子移出 晶格位置),並且植入的摻雜劑與基板原子只微弱地鍵結 因此該植入層具有不佳的電氣性質。通常係施行在高溫^ 攝氏900度更高)的熱處理或退火,以修復半導體晶體· 構’且替代性地把摻雜劑原子定位,即在晶體結構中的連In general, §, separate ion implantation is insufficient for the formation of effective semiconductor junctions: a heat treatment is required to electrically activate the implanted dopant. After implantation, the crystal structure of the semiconductor substrate is severely damaged (substrate atoms are removed from the lattice position), and the implanted dopants are only weakly bonded to the substrate atoms, so the implant layer has poor electrical properties. It is usually heat treated or annealed at a high temperature of 900 °C to repair the semiconductor crystal structure and alternatively position the dopant atoms, ie in the crystal structure.

板原子中之-的位置。此替代許該摻雜劑與基板原子㈣ 且變得電性活化;即改變該半導體層的導電率。然而,^ 熱處理不利於淺接面形成,因為在熱處理期間會發生植乂 摻雜劑的擴散。事實上,熱處理期間的领擴散是達成次〇 微米規模之㈣時的限制因素。針對此熱處理已發展先進f 程以使淺植入摻雜劑的擴散減到最少,諸如 。 尖峰退火一快速熱製程,其中在最 」 牡取阿,皿的駐留時間接近 零·溫度上下盡可能地快速。以此方 飞 使植入推雜密,丨任 化所需的高溫可達到,@ Μ &amp; J㈣植入摻雜劑的擴散減到最少。 92045.doc -45 - 1263249 可預期此先進熱處理能與本發明一起使用,以在完整之半 導體裝置製造上使其優勢最大。 在上面的說明中顯而易見的係本發明可以作許多修改及 變更。因此,應明白,在所附專利申請範圍内,可不按上 述具體說明實施本發明。 【圖式簡單說明】 本發明的此等與其他優勢可參考以上規格書與以下附圖 而易於瞭解,其中··The position of the - in the plate atom. This replaces the dopant with the substrate atoms (4) and becomes electrically activated; that is, changes the conductivity of the semiconductor layer. However, heat treatment is not conducive to shallow joint formation because the diffusion of the vegetable tantalum dopant occurs during the heat treatment. In fact, the diffusion of the collar during the heat treatment is a limiting factor in achieving the (4) micron scale. An advanced process has been developed for this heat treatment to minimize the diffusion of shallow implant dopants, such as. The peak is annealed to a rapid thermal process in which the residence time of the dish is as close as possible to zero and the temperature is as fast as possible. In this way, the implant is pushed and mixed, and the high temperature required for the arbitrage can be achieved. @ Μ &amp; J (4) The diffusion of implanted dopants is minimized. 92045.doc -45 - 1263249 It is expected that this advanced heat treatment can be used with the present invention to maximize its advantages in the manufacture of complete semiconductor devices. Many modifications and variations of the present invention are possible in the description. Therefore, it is to be understood that the invention may be practiced otherwise than as described in the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS These and other advantages of the present invention can be easily understood by referring to the above specification and the following drawings, wherein

圖1A係依據本發明之範例性高電流簇離子植的系統之示 意圖。 圖1B係使用在圖i A之植入系統的加速_減速電極之示意 圖。 圖1C係依據本發明之高電流簇離子植入系統之一 具體實施例。Figure 1A is a schematic illustration of an exemplary high current cluster ion implant system in accordance with the present invention. Figure 1B is a schematic illustration of an acceleration-deceleration electrode used in the implant system of Figure iA. Figure 1C is a specific embodiment of a high current cluster ion implantation system in accordance with the present invention.

圖1D係依據本發明之高電流簇離子植入系統之又另一替 代性具體實施例。 圖1E係依據本發明之範例性中電流簇離子植入系統之示 意圖。 &gt; μ 圖2係依據方程式⑴之Child_Langmuir定律,示範束 電流對萃取能量之圖表。 以剖視圖顯然内 圖3係依據本發明之離子源的一透視圖 部組件。 顯 圖4A係目3所示離子源的具體實施例之一部份,以剖視 示電子束與疊置於其中之磁場。 圖 92045.doc -46- 1263249 圖4B類似於圖4A,但示範具有二電子束來源之替代性配 置。 圖5 A係圖3之簇離子源之透視圖,顯示該離子化區之細 々々 即 〇 圖5賴似於圖5A,但示範但示範具有二f子束㈣之# 代性配置。 圖5C係圖5B所不之電子束形成區的簡化俯視圖。 圖6係使用在本發明之離子源的三區域溫度控制系統。 圖7A係一磁性輕裝配件之透視圖,示範包括永久磁鐵之 磁性電路。 圖7B係磁性軛裝配件經整合於本發明之離子源的離子化 室中之透視圖。 圖7C係不範磁通量通過在xy平面上之磁性軛裝配件的一 剖面。 圖7D係圖7A所不磁性輛裝配件之一替代性具體實施例 之透視圖,其包括一電磁鐵。 圖7E類似圖7B,除了其與圖7D所示具體實施例有關。 圖7 F係示範磁通量通過在y z平面上如圖7 e中所示之磁性 軛裝配件的一剖面。 圖7(}類似圖7F’除了其示範在XZ平面上之磁通量。 圖7H顯不在第7B圖之軛裝配件與該電子搶中具有高導 磁性磁性遮蔽件之本發明的離子源。 圖8A以圖不使用本發明離子源之十八石朋烧束電流與蒸汽 壓力相對於汽化溫度。 92045.doc 1263249 圖犯以一球與棒顯示Bi8H22分子之模型。 =係以圖形示範本發明之離子源產生之B18H22的正離 子貝里頻譜,以高質量解析度收集。 圖10係以圖形示範BlsH22之負離子質量頻譜與一匕出22 的正離子質量頻譜重疊,二者均以高質量解 二2 以本發明之離子源產生。 集且 旦圖^圖示以本發明之離子源產生之匕也的正離子質 里頻禮’以低質量解析度收集。 一圖ία圖示以本發明之離子源產生之BisH22的正離子質 量頻譜’以高質量解析度收集,且具有延伸之水平值,因 此可分解出個別的離子質量。 圖12以圖示說明Bl8Hx+束電流為束萃取能量的一函數, 由本發明之蔟離子植入系統在靠近晶圓的位置量測。 〃圖13以圖示說明使用本發明之簇離子植人系統將圖以 貧料轉換成侧劑量率(使用Βΐ8Ηχ +植入)相對於领植入能量 的一函數。 圖⑷系-CMOS在形成NM0S汲極延伸時之製造順序的 圖式。 圖15係-CMOS在形成PM0S汲極延伸時之製造 圖式。 、 圖16係在製造一 NMOS半導體奘娶、a 干导體破置過程中之半導體基 板’係在N型汲極延伸植入之步驟。 圖m系在製造-NM〇s半導體裝置過程中之半導體基 板,係在源極/汲極植入之步驟。 92045.doc -48- 1263249 圖18係在製造—PM〇s半導體裝置過程中 〜千導體美 板,係在P型汲極延伸植入之步驟。 圖19係在製造一 PM〇s半導體裝置過程中 、平導體基 板’係在源極/汲極延伸植入之步驟。 圖20以一圖示說明剛被植入之硼濃度的SIMs輪廓,藉由 本發明之簇離子植入系統從一 20 keV 離子束植入一 ^夕晶圓。 圖21以一圖示說明對於一氨(NH3)之離子化剖面σ相對於 電子能量Τ之函數。 【主要元件符號說明】 10 簇離子源 28 汽化器 29 固體饋入材料 30 汽化器殼體 31 艙室 32 蒸氣導管 33 氣體導管 34a 分隔阻障 35 來源組塊 36 安裝凸緣 39 導管 41 半導體基板 42 溝渠隔離 43 P型井 92045.doc -49- 1263249 44 45 離子化室/閘極氧化層/閘極堆疊 多晶砍閘極電極/閘極堆豐 47 砷簇離子束 48 没極延伸區 49 閘極電極 50 蒸汽 51 氧化物觸點區 52 間隔件 54 砷簇離子束 55 源極/汲極區 56 通道區 57 多晶矽摻雜層 60 壓力計 70 &gt; 70A ^ 70B 電子束 71a 、71b 電子進入孔徑 71 電子離開孔徑 72 束堆積處 80、 80f 離子萃取孔徑板 8卜 81’ 離子萃取孔徑 88 N型簇植入 89 N通道没極延伸 90 P通道汲極延伸 91 P型簇植入 100 閥 101 、101a 源極靜電遮護件 92045.doc -50- 1263249 102 102a 102b 105 105a 105b 106 、 106a 、 106b 110 110a、110b 115 116 117 118 119 120 120a 125 、 125a 、 125b 130 、 130a 、 130b 132、132a、132b 135 、 135a 、 135b 140 、 140a 、 140b 147 148 154 155 射極遮護件 射極遮護件 射極遮護件 底板 底板 底板 底板孔徑 閥/燈絲 燈絲 正極電源供應器 負極電源供稱器 電源供應器 磁性遮護件 磁場線 離子束 離子束 磁極裝配件 永久磁鐵 陶瓷間隔件 靜磁場 陽極 石朋镇植入束 沒極延伸 硼簇離子束 源極/沒極區 92045.doc -51 - 1263249 200 離子束 205 法拉第籠 208 包圍件 210 離子源 220 萃取電極 230 分析器磁鐵 240 束成分 250 電荷比 260 電荷比 270 質量解析孔徑 290 外徑壁 300 内徑壁/萃取板/減速電極 304 接地板 310 加速/減速電極 310a 濾波器 312 基板 314 離開孔徑 315 旋轉盤 320 四極管 330 晶圓處理室 400 離子源 401 萃取電極 402 分析器磁鐵 403 解析孔徑 404 離子束 92045.doc -52- 1263249 405 406 407 408 409 410 411 412 413 500 510a、510b 520a、520b 530a、530b 540a、540b 550 600 610 620a 620b 630a 630b 640 650 660 加速/減速電極 四極管透鏡 掃描模組 離子束線 平行束 準直器 晶圓處理室 目標 法拉第杯 磁性軛裝配件 磁鐵 磁極件 電洞 環面 表面 繞線轴心 磁線圈 上輛 下軛 上磁極 下磁極 磁性遮護件 磁場穿入區 執道 92045.doc -53 -Figure 1D is yet another alternate embodiment of a high current cluster ion implantation system in accordance with the present invention. Figure 1E is an illustration of an exemplary current cluster ion implantation system in accordance with the present invention. &gt; μ Figure 2 is a graph illustrating the beam current vs. extracted energy according to the Child_Langmuir law of equation (1). BRIEF DESCRIPTION OF THE DRAWINGS Figure 3 is a perspective view of an ion source assembly in accordance with the present invention. A portion of a particular embodiment of the ion source shown in Scheme 3A is shown in cross-section showing the electron beam and the magnetic field superimposed therein. Figure 92045.doc -46-1263249 Figure 4B is similar to Figure 4A but is exemplified with an alternative configuration of two electron beam sources. Figure 5A is a perspective view of the cluster ion source of Figure 3, showing the details of the ionization zone, i.e., Figure 5, which is similar to Figure 5A, but exemplified but exemplified by a two-subbeam (four) configuration. Figure 5C is a simplified top plan view of the electron beam forming region of Figure 5B. Figure 6 is a three zone temperature control system for use with the ion source of the present invention. Fig. 7A is a perspective view of a magnetic light fitting, exemplified by a magnetic circuit of a permanent magnet. Figure 7B is a perspective view of a magnetic yoke assembly integrated into an ionization chamber of an ion source of the present invention. Figure 7C is a cross-section through which the magnetic flux passes through the magnetic yoke assembly on the xy plane. Figure 7D is a perspective view of an alternative embodiment of the non-magnetic vehicle assembly of Figure 7A including an electromagnet. Figure 7E is similar to Figure 7B except that it relates to the particular embodiment shown in Figure 7D. Figure 7F is an exemplary magnetic flux through a section of the magnetic yoke assembly as shown in Figure 7e on the y z plane. Fig. 7(} is similar to Fig. 7F' except that it exemplifies the magnetic flux on the XZ plane. Fig. 7H shows the yoke assembly of Fig. 7B and the ion source of the present invention having a highly magnetically permeable magnetic shield. The figure shows that the beam current and the vapor pressure of the ion source of the present invention are not relative to the vaporization temperature. 92045.doc 1263249 The figure shows a model of the Bi8H22 molecule by a ball and a rod. The positive ion Berry spectrum of B18H22 produced by the source is collected with high quality resolution. Figure 10 is a graphical representation of the negative ion mass spectrum of BlsH22 and the positive ion mass spectrum of a chirp 22, both of which are solved by high quality. Produced by the ion source of the present invention. The cations generated by the ion source of the present invention are collected at a low mass resolution. A graph ία illustrates the ions of the present invention. The positive ion mass spectrum of the source-generated BisH22 is collected at high quality resolution and has an extended level value, so that individual ion masses can be resolved. Figure 12 illustrates the Bl8Hx+ beam current as the beam extraction energy. The function is measured by the cesium ion implantation system of the present invention at a position close to the wafer. Figure 13 is a diagram illustrating the use of the cluster ion implantation system of the present invention to convert the graph into a side dose rate (using Βΐ8Ηχ + planting) Fig. 4 is a diagram showing the manufacturing sequence of the CMOS in forming the NM0S drain extension. Fig. 15 is a manufacturing diagram of the CMOS in forming the PM0S drain extension. The semiconductor substrate of the 16-series in the process of fabricating an NMOS semiconductor, a dry conductor is in the step of N-type drain extension implantation. Figure m is a semiconductor substrate in the process of manufacturing a -NM〇s semiconductor device. , in the step of source/drain implantation. 92045.doc -48- 1263249 Figure 18 is a step of the P-type drain extension implant in the process of manufacturing - PM〇s semiconductor device Figure 19 is a diagram showing the step of implanting a flat conductor substrate in a source/drain extension during the fabrication of a PM?s semiconductor device. Figure 20 is a diagram illustrating the SIMs profile of the boron concentration just implanted, Detached from a 20 keV by the cluster ion implantation system of the present invention The sub-beam is implanted into a wafer. Figure 21 is a graphical representation of the ionization profile σ for an ammonia (NH3) as a function of electron energy 。. [Key element notation] 10 Cluster ion source 28 Vaporizer 29 Solid Feed material 30 Vaporizer housing 31 Cabin 32 Vapor conduit 33 Gas conduit 34a Separation barrier 35 Source block 36 Mounting flange 39 Conduit 41 Semiconductor substrate 42 Ditch isolation 43 P-type well 92045.doc -49- 1263249 44 45 Ionization Chamber/gate oxide/gate stack polycrystalline gate electrode/gate stack 47 arsenic cluster ion beam 48 immersion extension 49 gate electrode 50 vapor 51 oxide contact region 52 spacer 54 arsenic cluster ion Beam 55 source/drain region 56 channel region 57 polysilicon doped layer 60 pressure gauge 70 &gt; 70A ^ 70B electron beam 71a , 71b electron entry aperture 71 electron exit aperture 72 beam stack 80, 80f ion extraction aperture plate 8 81' Ion extraction aperture 88 N-type cluster implant 89 N-channel finite electrode extension 90 P-channel drain extension 91 P-type cluster implant 100 valve 101, 101a source electrostatic shield Item 92045.doc -50 - 1263249 102 102a 102b 105 105a 105b 106 , 106a , 106b 110 110a , 110b 115 116 117 118 119 120 120a 125 , 125a , 125b 130 , 130a , 130b 132 , 132a , 132b 135 , 135a , 135b 140, 140a, 140b 147 148 154 155 Emitter Shielding Primer Shielding Primer Shield Base Plate Floor Plate Base Plate Aperture Valve / Filament Filament Positive Power Supply Negative Power Supply Scale Power Supply Magnetic Shield Magnetic Field Line ion beam ion beam magnetic pole assembly permanent magnet ceramic spacer static magnetic field anode Shi Pengzhen implant beam no pole extension boron cluster ion beam source / no pole area 92045.doc -51 - 1263249 200 ion beam 205 Faraday cage 208 surrounded Piece 210 ion source 220 extraction electrode 230 analyzer magnet 240 beam composition 250 charge ratio 260 charge ratio 270 mass resolution aperture 290 outer diameter wall 300 inner diameter wall / extraction plate / reduction electrode 304 ground plate 310 acceleration / deceleration electrode 310a filter 312 Substrate 314 exit aperture 315 Rotating disk 320 Transistor 330 Wafer processing chamber 400 Ion source 401 Extraction electrode 402 Resolver magnet 403 Analytical aperture 404 Ion beam 92045.doc -52-1263249 405 406 407 408 409 410 411 412 413 500 510a, 510b 520a, 520b 530a, 530b 540a, 540b 550 600 610 620a 620b 630a 630b 640 650 660 Acceleration / Deceleration Electrode Quadrupole Lens Scanning Module Ion Beam Parallel Beam Collimator Wafer Processing Room Target Faraday Cup Magnetic Yoke Assembly Magnet Magnetic Pole Hole Surface Surface Winding Axis Magnetic Coil Upper Lower Yoke Upper Magnetic Pole Magnetic Magnetic The shield magnetic field penetrates into the area of the road 92045.doc -53 -

Claims (1)

I26334Sii〇79()號專利申請案 一f〜rTr' .. 中文申請專利範圍替換本(95年2月) 一 Ί 十、申請專利範圍: ’ J 1 · 一種植入離子之方法,包含下列步驟: (a) 產生一容積之氫化硼ΒηΗ^的氣相分子,其中^與瓜係 整數,且η&gt;1〇及m2〇 ; (b) 離子化界定已離子化氫化硼分子之該等氫化硼分 子;及 (c)藉由一電場將該等已離子化氫化硼分子加速進入 目標。 2·如請求項1之方法,其中步驟(a)包含產生一容積之十八硼 烧之氣相分子。 3·如明求項2之方法,其中步驟((:)包含加速之分子, 其中 〇$χ$22 。 4·如明求項2之方法,其中步驟⑷包含加速之分子, 其中 〇Sy$44 。 5. 如請求項1之方法,其中步驟⑷包含藉由加熱 度以上使一固體昇華以產生一容積之氣體。 至攝氏2 0 6. 如請求項丨之方法,其中步驟(c)包含加速該等 進入一矽目標。 氳化硼離子I26334Sii〇79() Patent Application No. f~rTr'.. Chinese Patent Application Substitution (February 1995) I. Scope of Application: 'J 1 · A method for implanting ions, including the following steps : (a) a gas phase molecule of a volume of boron hydride , Η ^, wherein ^ and cucurbits are integers, and η > 1 〇 and m 2 〇; (b) ionization defines the boron hydride of the ionized boron hydride molecule The molecules; and (c) accelerate the ionized boron hydride molecules into the target by an electric field. 2. The method of claim 1, wherein the step (a) comprises producing a volume of eighteen borax gas phase molecules. 3. The method of claim 2, wherein the step ((:) comprises an accelerated molecule, wherein 〇$χ$22. 4. The method of claim 2, wherein step (4) comprises an accelerated molecule, wherein 〇Sy$44. 5. The method of claim 1, wherein the step (4) comprises sublimating a solid by heating above to produce a volume of gas. To Celsius 2 0. 6. The method of claim 2, wherein the step (c) comprises accelerating Waiting for a target. 如請求項1之方法, 離子化。 其中該氣相BnHm被導入 一離子源用於 8·如请求項7之方法,其中該離子源被納入一 Q Ln 離子植入機0 入 々明求項1之方法,其中步驟(c)包含加 一石、乳化硼離子進 7上絕緣物基板目標。 1〇·如請求項1之方法,其中步驟(c)包含加 速氣化硼 離子至一 92045-950217.doc 1263249 應變超晶格基板目標。 11. 如請求項丨之方法,其中步驟(c)包含加速氣化硼離子進入 一基板一鍺化矽(SiGe)應變超晶格目標。 12. —種植入離子之方法,包含下列步驟: (a) 產生一谷積之鼠化侧BnHm之氣相分子,其中^與m待 整數,且n&gt;10及m&gt;0 ; (b) 形成一含有氫化硼分子、氫化離子與電子之電浆; 及 (c) 精由一電場將該專鼠化棚離子加速植入一目標,以施 行一半導體之摻雜。 13·如請求項12之方法,其中該電場係一隨時間變化或脈衝 電場。 14 ·如請求項丨2之方法,其中該電場係一固定或直流電場。 15.如請求項12之方法,其中步驟(c)包含產生十八硼烷Bi8h22 蒸汽。 16·如請求項12之方法,其中步驟(b)包含形成一 b18Hx+離子 之電漿,其中〇SXS22。 1 7·如請求項12之方法,其中步驟(a)包含藉由加熱至攝氏20 度以上使一固體昇華以產生一容積之氣體。 1 8 ·如請求項12之方法,其中步驟(c)包含加速該等氫化硼離 子進入一矽目標。 19·如請求項12之方法,其中步驟(c)包含加速該等氫化硼離 子進入一碎上絕緣物基板目標。 20_如請求項12之方法,其中步驟(c)包含加速該等氫化硼離 92045-950217.doc 1263249 子進入一應變超晶格基板目標。 2 1.如請求項12之方法,其中步驟(c)包含加速該等氫化硼離 子進入一鍺化矽(SiGe)應變超晶格目標。 92045-9502i7.doc 1今 1今As in the method of claim 1, ionization. Wherein the gas phase BnHm is introduced into an ion source for use in the method of claim 7, wherein the ion source is incorporated into a Q Ln ion implanter, wherein the step (c) comprises Add a stone, emulsify boron ions into the 7-insulator substrate target. The method of claim 1, wherein the step (c) comprises accelerating the vaporized boron ion to a 92045-950217.doc 1263249 strain superlattice substrate target. 11. The method of claim </ RTI> wherein the step (c) comprises accelerating the vaporization of boron ions into a substrate-silicon germanium (SiGe) strain superlattice target. 12. A method of implanting ions, comprising the steps of: (a) generating a gas phase molecule of a ratified side BnHm, wherein ^ and m are integers, and n &gt; 10 and m &gt;0; (b) Forming a plasma containing hydrogenated boron molecules, hydrogenating ions and electrons; and (c) accelerating the implantation of a specialized rat ion into a target by an electric field to perform doping of a semiconductor. 13. The method of claim 12, wherein the electric field is a time varying or pulsed electric field. 14. The method of claim 2, wherein the electric field is a fixed or DC electric field. 15. The method of claim 12, wherein step (c) comprises producing octadecaborane Bi8h22 vapor. 16. The method of claim 12, wherein step (b) comprises forming a plasma of b18Hx+ ions, wherein 〇SXS22. The method of claim 12, wherein the step (a) comprises sublimating a solid to produce a volume of gas by heating to above 20 degrees Celsius. The method of claim 12, wherein the step (c) comprises accelerating the entry of the boron hydride ions into a target. 19. The method of claim 12, wherein step (c) comprises accelerating the boron hydride ions into a fragmented insulator substrate target. 20) The method of claim 12, wherein step (c) comprises accelerating the boron hydride from 92045-950217.doc 1263249 into a strained superlattice substrate target. 2. The method of claim 12, wherein step (c) comprises accelerating the boron hydride ions into a bismuth telluride (SiGe) strained superlattice target. 92045-9502i7.doc 1 Today 1 Today 126324妒311〇79〇號專利申請案 中文圖式替換本(95年2月)丨 十一、圖式· a ία 330126324妒311〇79〇 Patent Application Chinese Graphic Replacement (February 95)丨 十一, schema· a ία 330 92045.doc 126324992045.doc 1263249 92045.doc 126324992045.doc 1263249 92045.doc 1263249 ο92045.doc 1263249 ο 92045.doc 4- 126324992045.doc 4- 1263249 92045.doc 1263249 a2 1 2馨sis 最大萃取電流(mA) ro ^ _t__l_L92045.doc 1263249 a2 1 2 Xin sis Maximum extraction current (mA) ro ^ _t__l_L ^z:®繭as蕕•achlldr-angiirw»^» 92045.doc 1263249^z:®茧as莸•achlldr-angiirw»^» 92045.doc 1263249 92045.doc 1263249 S4-A92045.doc 1263249 S4-A 92045.doc 1263249 102B92045.doc 1263249 102B 圖4B 92045.doc -9-Figure 4B 92045.doc -9- 920^ d〇C 1263249 U\920^ d〇C 1263249 U\ 1263249 105B 125B1263249 105B 125B 圖5C 92045.doc -12- 1263249 幽6Figure 5C 92045.doc -12- 1263249 幽6 m 92045.doc 13- 1263249 510a 咖7&gt;m 92045.doc 13- 1263249 510a Coffee 7&gt; # 92045.doc -14- 1263249 s 7B# 92045.doc -14- 1263249 s 7B 92045.doc -15- 1263^9 126324992045.doc -15- 1263^9 1263249 圖7EFigure 7E 92045.doc -18-92045.doc -18- li Λ\ 1263249 法拉第電流(uA) D OQOQOOQOQC ooooooo oOc 么 0*50 &amp; GD 65*70 75 gss s 100105 i5 0- a 8ALi Λ\ 1263249 Faraday current (uA) D OQOQOOQOQC ooooooo oOc 0*50 &amp; GD 65*70 75 gss s 100105 i5 0- a 8A 汽化器壓力{mTorr} 92045.doc -22- 1263249 十八硼烷霉流(uA&gt; α Μ r+-r Ο g r-f-τ § 一 |SJ 〇 r〇 *^· CD CD 〇 〇〇〇〇〇〇,.(f , t |. ,-t ,-,-,-4 s 9 siVaporizer pressure {mTorr} 92045.doc -22- 1263249 octaborane mold flow (uA> α Μ r+-r Ο g rf-τ § 一|SJ 〇r〇*^· CD CD 〇〇〇〇〇〇〇 ,.(f , t |. ,-t ,-,-,-4 s 9 si 20kev+&gt;s^ 92045.doc 24- 1263249 s 10 μ 十八硼院電流(^) cn od ο ο ο ο g20kev+&gt;s^ 92045.doc 24- 1263249 s 10 μ 18 Boron Court Current (^) cn od ο ο ο ο g 20 kev+&gt; 碧筘ν·ιε®»1ι^ 260 280300 92045.doc 1263249 十八硼烷電流(uA) ο ο σ r+τ ^ cn σ&gt; ο ο ο ο .§ ο -τ+τ- ο ο s 11Α20 kev+&gt; tourmaline ν·ιε®»1ι^ 260 280300 92045.doc 1263249 Octadeborane current (uA) ο ο σ r+τ ^ cn σ&gt; ο ο ο ο .§ ο -τ+τ- ο ο s 11Α 20 kevlA 留钸 92045.doc -26- 1263249 十八硼烷電流(uA) —X —V o ro ® 11B20 kevlA 钸 92045.doc -26- 1263249 Octadeborane current (uA) —X —V o ro ® 11B 2!〇 kev+AS辄 92045.doc -27- 1263249 c.cc 12 法拉第電流(mA) 〇 Ο o p p ο 5 10 15 20 25 30 馨__ 12!〇 kev+AS辄 92045.doc -27- 1263249 c.cc 12 Faraday current (mA) 〇 Ο o p p ο 5 10 15 20 25 30 Xin __ 1 +&gt;霞鶴ΐΛ?切薇 * Λ3Π 92045.doc -28- 1263249 s 13 原子硼電流(mA} 爾議Λ雜w 1+&gt;Xiahe ΐΛ?切薇 * Λ3Π 92045.doc -28- 1263249 s 13 Atomic boron current (mA) 尔议Λ杂1 92045.doc -29- 1263249 15) PMOS 900$^ 14) CMOS 雔蟒荔^VH 令U4) NMOS^^5^tl5) PMOS 誶菡槔衾H 14 二 5 —of. z.lrts i〇s Ll^k 85 p-牡 V n n Γ ft ft I- i f92045.doc -29- 1263249 15) PMOS 900$^ 14) CMOS 雔蟒荔^VH Let U4) NMOS^^5^tl5) PMOS 谇菡槔衾H 14 2 5 —of. z.lrts i〇s Ll ^k 85 p-牡 V nn Γ ft ft I- if N-准 IP Γ 811 p-准 n t 82 Di CO5 一 85 8°? 86 PFI 83 \ ----------- * i 83 91 NM〇S 89 92045.doc 30- 1263249 a16N-quasi IP Γ 811 p-quasi n t 82 Di CO5 a 85 8°? 86 PFI 83 \ ----------- * i 83 91 NM〇S 89 92045.doc 30- 1263249 a16 caco&amp; e&gt; 4 &amp; 贪¾ z «-·&lt; &lt;sa奪彌 、 _*?减 47 92045.doc -31- 1263249 凾17Caco&amp;e&gt; 4 &amp; 滋3⁄4 z «-·&lt;&lt;sa 弥, _*? minus 47 92045.doc -31- 1263249 凾17 t- &lt;-今 Ψ· ♦smH^i# 讅 57 &lt; &amp; ^ ^ ^. i鹳屮Ms 92045.doc 32- 1263249 S 18T- &lt;-今 Ψ· ♦smH^i# 讅 57 &lt;&amp; ^ ^ ^. i鹳屮Ms 92045.doc 32- 1263249 S 18 ^\u 令 4 沒 易沒 也δ&gt;· &amp; 免务 裔雜 鹬·?^147 92045.doc ,33- 1263249 涵19^\u 令4 没不没也 δ&gt;· &amp; 务 杂 ?·?^147 92045.doc , 33-1263249 涵19 &lt;£)&lt;&amp;.忍也公 ^ ^ 公负奂 ^ 000^ί &lt; 92045.doc 1263249 s 20 4 Γ 二 c I I J « J _ I J Γ 1 - 0 50 100 150 200 25G 3S 350 台0 450 so si) 一 B/crrf&lt;£)&lt;&amp;. 忍公公 ^ ^ 公负奂 ^ 000^ί &lt; 92045.doc 1263249 s 20 4 Γ II c IIJ « J _ IJ Γ 1 - 0 50 100 150 200 25G 3S 350 0 450 so si) A B/crrf I co S CO 20Ke&lt;B1SHx+®Jg#a 92045.doc -35- 1263249I co S CO 20Ke&lt;B1SHx+®Jg#a 92045.doc -35- 1263249 T(eV) 資料組、 來源 BEB (VERTICAL IP) Y.-K. KIM, W. HWANG, N.M. WEINBERGER, W A AU AND RUDD, J. CHEM. PHYS. 106,1026 (1997) BEB (ADIABATIC IP) W. HWANG, Y. -K. KJM AND M.E. RUDD, J. CHEM. PHYS. 104, 2956 (1996). 搴 N. DJURIC, D. BEUC±M. KUREPA, J.U. MACK, J, ROTHLEITNER AND T.D. MARK, ABSTRACtSJ 12THINT. CONF ON THE PHYSICS OF ATOMIC AND ELECTRIC COLLUSIONS, ED. BYS DATZ(GATUNBURG, 1981), P. 384 M.V.V.S. RAO AND S.K. SR1VASTAVA, J. PHYS. B 25,2175 (1992) 圖21 92045.doc -36-T(eV) data set, source BEB (VERTICAL IP) Y.-K. KIM, W. HWANG, NM WEINBERGER, WA AU AND RUDD, J. CHEM. PHYS. 106,1026 (1997) BEB (ADIABATIC IP) W HWANG, Y. -K. KJM AND ME RUDD, J. CHEM. PHYS. 104, 2956 (1996). 搴N. DJURIC, D. BEUC±M. KUREPA, JU MACK, J, ROTHLEITNER AND TD MARK, ABSTRACtSJ 12THINT. CONF ON THE PHYSICS OF ATOMIC AND ELECTRIC COLLUSIONS, ED. BYS DATZ (GATUNBURG, 1981), P. 384 MVVS RAO AND SK SR1VASTAVA, J. PHYS. B 25, 2175 (1992) Figure 21 92045.doc -36-
TW093110790A 2003-04-18 2004-04-16 An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions TWI263249B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US46396503P 2003-04-18 2003-04-18
PCT/US2003/020197 WO2004003973A2 (en) 2002-06-26 2003-06-26 Ion implantation device and method

Publications (2)

Publication Number Publication Date
TW200520021A TW200520021A (en) 2005-06-16
TWI263249B true TWI263249B (en) 2006-10-01

Family

ID=37966309

Family Applications (2)

Application Number Title Priority Date Filing Date
TW093110790A TWI263249B (en) 2003-04-18 2004-04-16 An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
TW095105427A TWI264053B (en) 2003-04-18 2004-04-16 An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW095105427A TWI264053B (en) 2003-04-18 2004-04-16 An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions

Country Status (1)

Country Link
TW (2) TWI263249B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473606B2 (en) 2006-02-22 2009-01-06 United Microelectronics Corp. Method for fabricating metal-oxide semiconductor transistors

Also Published As

Publication number Publication date
TWI264053B (en) 2006-10-11
TW200520021A (en) 2005-06-16
TW200620394A (en) 2006-06-16

Similar Documents

Publication Publication Date Title
JP4749713B2 (en) Ion implantation method and semiconductor manufacturing method by implantation of borohydride cluster ions
TWI288964B (en) Method of manufacturing CMOS devices by the implantation of N-and P-type cluster ions and negative ions
US8618514B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
TWI404128B (en) An ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane cluster ions
TW521295B (en) Ion implantation ion source, system and method
TW511113B (en) Ion implantation with high brightness, low emittance ion source, acceleration-deceleration transport system and improved ion source construction
CN102844842A (en) Silaborane implantation processes
JP2010232668A (en) Method of manufacturing cmos device by implantation of n- and p-type cluster ions and negative ions
Current Ion implantation for fabrication of semiconductor devices and materials
TWI263249B (en) An ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
TW201246263A (en) Silaborane implantation processes

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees