TW589926B - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
TW589926B
TW589926B TW091120873A TW91120873A TW589926B TW 589926 B TW589926 B TW 589926B TW 091120873 A TW091120873 A TW 091120873A TW 91120873 A TW91120873 A TW 91120873A TW 589926 B TW589926 B TW 589926B
Authority
TW
Taiwan
Prior art keywords
antenna element
plasma
patent application
scope
container
Prior art date
Application number
TW091120873A
Other languages
Chinese (zh)
Inventor
Masaru Kurihara
Naoyuki Kofuji
Naoshi Itabashi
Takashi Tsutsumi
Original Assignee
Hitachi Ltd
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi High Tech Corp filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW589926B publication Critical patent/TW589926B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

The objective of the present invention is to provide a plasma processing apparatus which stably and continuously generates uniform plasma, so as to process large-diameter wafers using a wide range of seed gases under wide-ranging pressure and density conditions, and can be thus used for a wide range of applications, ensuring a high production efficiency. The solution is to have a plasma processing apparatus which introduces electromagnetic waves through a dielectric window into a reduced pressure vessel. It has at least two antenna elements which are rotationally symmetrical. One end of each antenna is grounded, and power is fed from a high frequency power supply to the other end in the same or virtually same phase.

Description

589926 ⑴589926 ⑴

玖、發明說明: (發明說明應敘明:發明所屬之技術領域、先前技術、内容、實施方式及圖式簡單說明) 【技術領域】 本發明係關於電漿處理裝置,其係將原料氣體電漿化, 藉由活化之粒子之物理或化學的相互作用處理半導體等 固態材料之表面。 【背景技術】 提升為處理半導體材料表面之電漿的均勻性或安定性 等性能為目的之電漿處理裝置被習知者如下。 於特開平6- 1 1 1 996號公報記載,將N個電極以旋轉對稱 配置,對各電極施加相位各相異360度/N之RF帶(13.56 MHz)高頻波的方法。 於特開平1 1 - 1 3 543 8號公報記載,對於由中心輻射狀地配 置,外周部接地之直線狀導體施加RF帶高頻波的方法。 於特開平1 0- 1 25 663號公報記載,對於由中心螺旋狀地 延伸之導電性線圈施加RF帶高頻波的方法。 於特開,2000- 1 1 1 996號公報記載,對於施加之高頻波波 長之1 /4長旋轉對稱地配置N個天線元件,分別施加相位各 相異360度/N之UHF帶高頻波的方法。 於特開平1 0 - 7 0 1 0 8號公報記載,對於施加之高頻波波長 之1 /4長輻射狀地延伸之棒狀導體,與由外周圓環狀導體 向中心延伸之棒狀導體天線元件,分別施加相位1 8 0度相 異之UHF帶高頻波的發明。 作為數MHz到100 MHz頻帶之放電方式,至今有平行平 板形之電容耦合式或利用環狀線圈之ICP等之誘導耦合方 589926 式等 由 帕申 域, Jpn. 因 於低 之適 誘 磁場 形之 軌跡 可於 但 時, 窗之 窗的 另 之電 之頻 用範 Phys 又 的離 (2) 表示壓力與電極間距離之積與絕緣崩潰電壓關係之 定律(Pachens’s Law),於餘刻製程所需要的壓力領 則愈低壓愈需要高的放電維持電壓(S . Kakuta,et. al., J· Appl· Phys· 33 (1 994) ρρ· 4335-4399) 〇 此,在於上述數MHz到100 MHz頻帶,平行平板形則 壓領域維持均句而高密度的電漿有所困難,低壓領域 用範圍將受到限制。 導輕合方式為’將電流流於環狀天線,以誘發之誘導 ’於電漿:内部產生誘導電場的方式。相對於平行平板 電子執跡決定於電極間距離,誘導耦合型則因電子的 對圓’電子的移動距離延長,原理上較平行平板形, 低壓下實現高電漿密度。 疋使用數Μ Η z到1 0 0 Μ Η z的低頻帶作為高頻波電源 於環狀天線正下電漿内形成強的空間電場,於介電質 環狀天線正下附近入射高能量離子,將成磨損介電質 原因^ 方面,於1 0 0 Μ Η ζ以上之頻帶,則電子被經時變化 場捕獲,藉由擴散而減少損失,較使用丨〇〇 MHz以下 帶時’即使於低壓領域亦可安定地維持電漿,可將適 圍擴大到低壓領域。(S.Kakuta,et· al·,Jpn· j· αρρ1· • ”(1 994) pp. 433 5-4339” 因於1 0 0 Μ Η ζ以上空間電場變弱,入射到介電質窗 子能量減少可減低介電質窗的磨損。(T Kitajima,et· 589926 (3) al·,Appl. Phys. Lett. 77 (2000) ρρ· 489-491)。 再者,將使用100 MHz以上之頻帶之放電形式也納入考 慮’於先前之平行平板形方式或誘導耦合方式加上,有於 複數天線元件施加相異相位電力之方式(相位控制型),戈 使天線長度為施加高頻波波長之1 /4整數倍之方式(天線長 度控制型)等。 【發明所欲解決之課題】 即使使用1 00 MHz以上頻帶,如平行平板形電極間方向 之電場為電漿產生電場之主成分時,電漿密度增加的同時 由於垂直於電漿界面之成分受到遮蔽,高密度領域之適用 範圍將受到限制。另一方面,為將適用範圍擴大到高密度 領域,使用由環狀電流之誘導磁場,產生環狀誘導電場之 誘導耦合方式為宜,但於先前的環狀天線應用100 MHz以 上頻帶時,由於會在天線内激發駐波,無法產生環狀誘導 電場。又,於複數元件施加相異相位高頻之方式,亦無法 形成環狀誘導電場。 近年,半導體製造利用之電漿,以對大口徑均勻的處理 性能為根本,將閘極電極、金屬膜或絕緣膜作為加工對象 之異方性或高選擇比、細微化可對應之蝕刻步驟為始,蝕 刻前之BARC (Bottom Anti- reflactive Coating :底部抗反 射鑛敷)或 BARL (Bottom Anti-reflactive layer ··底部抗反 射層)等之抗反射膜加工步驟、由氧化膜或氮化膜等所成 之硬遮罩加工步驟、為控制遮罩尺寸的細線化步驟、形狀 之角度或圓曲等廣泛的控制性被要求之溝渠加工步驟,再 589926 (4) 者,以至於去除加工後之光阻劑、蝕刻殘渣或受損層之後 處理步驟、或濺鍍等,廣泛的應用被要求。 例如,光是關於形成電晶體的閘極周圍之蝕刻步驟就 有,上述之溝渠加工、抗反射膜加工、遮罩加工、伴隨其 之遮罩細線化加工、閘極本身的加工、至其後之閘極側護 壁加工之多數步驟,為提升產能,防止由大氣之劣化,故 要求具有可將該等全部執行能力的裝置。 又,於導線層形成相關之蝕刻步驟,則由於導線層之多 層化,要求膜厚較厚的上層部金屬膜之高速加工。又於金 屬膜加工,亦有抗反射膜加工、擴散障壁膜加工、遮罩加 工等步驟,與閘極電極形成相同地,要求具有可將該等全 部執行之能力的裝置。 加上,對近年的少量多品種生產的要求,或應用於如系 統L S I將複數元件構造嵌入同一晶圓上的品種之必要性, 對各種各樣的氣體種,於處理壓力為0.1 Pa〜10 Pa、對晶 圓之離子入射電流為0.3〜3 mA/cm2之跨次方之廣大條件 範圍,為處理大口徑晶圓必須產生均勻性極高之電漿。 於廣範圍的氣體種、密度、壓力,又可維持大口徑的均 勻性得到安定而連續的電漿產生特性,利用UHF帶(1 00 MHzJiJ 3 GHz)之高頻波電源,於低電子溫度產生低解離電 漿為宜,又需要廣範圍之適·用範圍。但,於先前之利用RF 帶(13.56 MHz)誘導耦合方式之環狀天線供給UHF電力 無 流 電。 狀漿 環電 生之 產域 法領 無度 , 密 波高 駐生 發產 激法 内無 線而 天, 狀場 環電 在導 於誘 由成 , 形 時法 589926发明 Description of the invention: (The description of the invention should state: the technical field to which the invention belongs, the prior art, the content, the embodiments, and the drawings.) [Technical Field] The present invention relates to a plasma processing device, which is a method for converting raw material gas into electricity. Slurry, which treats the surface of solid materials such as semiconductors by the physical or chemical interaction of activated particles. [Background Art] A plasma processing apparatus for improving the uniformity or stability of a plasma on the surface of a semiconductor material is known as follows. Japanese Patent Application Laid-Open No. 6- 1 1 1 996 describes a method of arranging N electrodes in a rotationally symmetrical manner, and applying an RF band (13.56 MHz) high-frequency wave with a phase difference of 360 degrees / N to each electrode. Japanese Unexamined Patent Publication No. 1 1-1 3 543 8 describes a method of applying a high-frequency RF band to a linear conductor that is arranged radially at the center and grounded at the outer periphery. Japanese Patent Application Laid-Open No. 10-12525663 describes a method of applying RF band high-frequency waves to a conductive coil spirally extending from the center. Japanese Unexamined Patent Publication No. 2000-1 1 1 996 describes a method of applying UHF band high-frequency waves having 360 ° / N phase differences to each of N antenna elements that are rotationally symmetrically applied to 1/4 of the applied high-frequency wave length. Japanese Unexamined Patent Publication No. 10-7 0 1 0 8 describes a rod-shaped conductor antenna element extending from the outer ring-shaped conductor to the center of a rod-shaped conductor extending 1/4 of the applied high-frequency wave length in a long radial manner. The invention of applying UHF band high-frequency waves with 180 ° phase difference. As a discharge method in the frequency range of several MHz to 100 MHz, there are parallel plate-shaped capacitive coupling methods or inductive coupling methods such as ICP using toroidal coils, etc. 589926, etc. by Passin domain, Jpn. The trajectory can be at current time, the frequency of the window and the frequency of the electric current can be used by Phys and (2) the law of the relationship between the product of pressure and the distance between the electrodes and the breakdown voltage of the insulation (Pachens's Law). The lower the pressure, the higher the discharge sustaining voltage (S. Kakuta, et. Al., J. Appl. Phys. 33 (1 994) ρρ 4335-4399). Here, it lies in the above MHz to 100 MHz In the frequency band, the parallel plate shape maintains uniformity in the pressure field, while high-density plasma has difficulty, and the range of use in the low-voltage field will be limited. The light-conducting method is a method of 'inducing a current to a loop antenna to induce it' to a plasma: a method of generating an induced electric field inside. Compared with the parallel flat plate, the electronic track is determined by the distance between the electrodes. Inductive coupling type is extended by the electron's circle's moving distance. In principle, it is more parallel flat plate and achieves high plasma density at low voltage.疋 Use a low frequency band of several MW Η z to 100 Μ Η z as a high-frequency wave power source to form a strong space electric field in the plasma directly below the loop antenna. In terms of the cause of the wear dielectric, in the frequency band above 100 Μ Η ζ, the electrons are captured by the time-varying field, and the loss is reduced by diffusion, which is lower than when using the band below 〇〇〇MHz 'even in the low-voltage field It can also maintain the plasma stably, and can expand the scope to the low-voltage field. (S.Kakuta, et · al ·, Jpn · j · αρρ1 · • "(1 994) pp. 433 5-4339" Because the space electric field above 100 Μ Η ζ weakens, the energy incident on the dielectric window Reduction can reduce the wear of the dielectric window. (T Kitajima, et. 589926 (3) al., Appl. Phys. Lett. 77 (2000) ρρ. 489-491). Furthermore, a frequency band above 100 MHz will be used The form of discharge is also taken into consideration. 'In addition to the previous parallel flat plate method or induced coupling method, there is a method of applying different phase power to multiple antenna elements (phase control type), so that the antenna length is 1 / An integer multiple of 4 (antenna length control type), etc. [Problems to be solved by the invention] Even when the frequency band above 100 MHz is used, if the electric field in the direction between the parallel flat electrodes is the main component of the electric field generated by the plasma, the plasma As the density increases, because the components perpendicular to the plasma interface are shielded, the scope of application in the high-density area will be limited. On the other hand, in order to expand the scope of application to the high-density area, an induced magnetic field with a ring current is used to generate a Seduction The induction coupling method of the conductive field is suitable. However, when a loop antenna with a frequency band above 100 MHz is used, a loop-induced electric field cannot be generated because a standing wave is excited in the antenna. In addition, different-phase high-frequency is applied to the complex element In this way, it is impossible to form a ring-shaped induced electric field. In recent years, plasmas used in semiconductor manufacturing are based on the uniform processing performance of large apertures. The selection ratio and refinement can correspond to the etching step. Before the etching, anti-reflective film such as BARC (Bottom Anti-reflactive Coating) or BARL (Bottom Anti-reflactive layer) Processing steps, hard mask processing steps made of oxide or nitride films, thinning steps to control the mask size, shape control, or a wide range of trench processing steps required for controllability, such as 589926 (4) In order to remove the photoresist after processing, etching residues or damaged layers after processing steps, or sputtering, etc., a wide range of applications are required. For example, There are only the etching steps around the gate forming the transistor. The above-mentioned trench processing, anti-reflection film processing, mask processing, mask thinning processing accompanying it, processing of the gate itself, and subsequent gates In order to increase the production capacity and prevent deterioration from the atmosphere, most steps of the processing of the side wall are required to have a device capable of performing all of them. In addition, since the etching steps related to the formation of the wire layer, the multilayer of the wire layer, High-speed processing of a thick upper metal film is required. For metal film processing, there are also steps such as anti-reflection film processing, diffusion barrier film processing, and mask processing. Similar to the formation of gate electrodes, a device capable of performing all of these steps is required. In addition, the requirements for the production of a small number of multi-species in recent years, or the need to be applied to the variety of systems such as system LSIs that embed multiple component structures on the same wafer, for a variety of gas species, the processing pressure is 0.1 Pa ~ 10 Pa. The ion's incident current to the wafer ranges from 0.3 to 3 mA / cm2 across a wide range of conditions. In order to process large diameter wafers, a plasma with extremely high uniformity must be generated. For a wide range of gas species, density, and pressure, while maintaining the uniformity of a large caliber, a stable and continuous plasma generation characteristic is achieved. UHF band (100 MHzJiJ 3 GHz) high-frequency wave power is used to generate low dissociation at low electron temperature. Plasma is suitable, and it needs a wide range of suitable and applicable scope. However, in the previous loop antenna using RF band (13.56 MHz) induced coupling, the UHF power was supplied without current. The production area of the slurry-like ring electricity is inexhaustible, and the dense wave height is stagnant. The hair-excitation method has no wire and sky.

(5) 本發明之目的為提供,於廣範圍的密度、壓力、氣體種, 維持大口徑的均勾性並得到安定而連續的電漿產生特 性,可承受長期的量產處理之電漿處理裝置。 【解決課題之方法】 依照本發明其特徵在於包含:容器,其可保持減壓氣氛; 處理台,其載置位於該容器内之被加工物;介電質窗,其 配置於與該被加工物相對;及天線元件,其位於該介電質 窗之背面,將特定氣體電漿化之電磁波導入該容器内, 其中該天線元件由至少兩個以上的旋轉對稱地配置之 天線元件所構成, 該天線元件之各個一端接地,另一端與高頻波電源以同 相位或大致同相位地電氣的連接。 於本發明因利用複數的天線元件(特別是元件的長度較 高頻波電力的波長的1 /4短),抑制駐波的發生,可產生環 狀誘導電場。 又,由於本發明,可向低壓力領域、高密度領域將適用 範圍擴大,及由電容耦合成分使内壁部件之磨損減低可兩 立。 【發明之實施形態】 (第1實施形態) 首先,對作為本發明之特·徵的天線元件之構造,參照圖 1至圖5說明。 如圖1所示,長度較高頻波波長λ之1 /4短,至少2個以上 的天線元件1 0 1旋轉對稱地配置於導體板1 04。各天線元件 589926(5) The purpose of the present invention is to provide a plasma treatment that can maintain a large diameter uniformity and obtain stable and continuous plasma generation characteristics in a wide range of density, pressure, and gas species, and can withstand long-term mass production processing. Device. [Method for solving the problem] According to the present invention, it is characterized by comprising: a container that can maintain a reduced-pressure atmosphere; a processing table that holds a workpiece to be processed in the container; and a dielectric window that is disposed between the processing window and the processed object. And an antenna element, which is located on the back of the dielectric window, and guides the electromagnetic wave of a specific gas into the container, wherein the antenna element is composed of at least two antenna elements arranged in a rotationally symmetrical manner, Each end of the antenna element is grounded, and the other end is electrically connected with the high-frequency wave power source in the same phase or substantially the same phase. In the present invention, a plurality of antenna elements are used (especially, the length of the element is shorter than 1/4 of the wavelength of the high-frequency wave power) to suppress the occurrence of standing waves, and a ring-shaped induced electric field can be generated. In addition, the present invention can expand the applicable range to the low pressure field and the high density field, and can reduce the abrasion of the inner wall member by the capacitive coupling component. [Embodiment of the invention] (First embodiment) First, the structure of an antenna element which is a characteristic feature of the present invention will be described with reference to Figs. 1 to 5. As shown in FIG. 1, one-fourth of the wavelength λ of the higher frequency wave is short, and at least two antenna elements 1 0 1 are arranged on the conductor plate 104 in a rotationally symmetrical manner. Each antenna element 589926

分別具有兩個端子l〇2a、102b。一邊的端子102 a經由導體 棒103接地於導體板104。另一邊的端子102b通過開於導體 板1 04之孔,由導體棒1 0 5,由高頻波分配部1 0 6,與高頻 波電源同相位或大致同相位地電氣的連接。即,依照本發 明之天線元件構成,流於各天線元件1 〇 1之電流以全體形 成一個環狀電流地,與對各天線元件1 〇 1相位一致之高頻 波電源連接。如此地,可使之於高頻波(UHF帶)產生誘導 耦合電漿。 本發明之天線元件,為將由高頻波電源之高頻波以同相 位或大致同相位地供電於各天線元件,因採用以製作容易 的導體板作為電力分配之方式,無須複雜的相位控制機 構。 於圖2(A)、(B)、(C)分別表示,由高頻波電源201至各天 線元件2 0 2經由連接端子2 0 3分配電力之分配器之例。如圖 2(A)所示圓盤形204,因構成單純為宜。但是,如圖2(B) 之星形2 0 5亦可得到相同的效果。又,如圖2 (C)將流於各 天線元件之電流成同相位地設計了傳導路徑的同軸電纜 206來分配電力亦可得相同的效果。 回到圖1,利用導體板10 6時,由導體板亦有電磁波輻 射,各天線元件所輻射之電磁波會擾亂。因此,各天線元 件1 0 1與導體板1 0 6間夾接地之導體板1 04,採用於天線元 件有經由開於該接地之導電性平板之孔施加南頻波之構 造。以此,遮蔽由導體板106之電磁波。 由於各天線元件可形成環狀電流如示於圖3(A),以具有 -12- 589926Each has two terminals 102a, 102b. One terminal 102 a is grounded to a conductor plate 104 via a conductor rod 103. The terminal 102b on the other side is electrically connected to the high-frequency wave power source in the same phase or substantially the same phase through a hole opened in the conductor plate 104, a conductor rod 105, and a high-frequency wave distribution portion 106. That is, according to the antenna element configuration of the present invention, the current flowing through each antenna element 101 forms a loop current ground as a whole, and is connected to a high-frequency power source having the same phase with each antenna element 101. In this way, it can be used to generate induced coupling plasma in high frequency (UHF band). The antenna element of the present invention supplies high-frequency waves from a high-frequency wave power source to the antenna elements in the same phase or substantially the same phase. Since an easy-to-manufacture conductor plate is adopted as the power distribution method, no complicated phase control mechanism is required. Figs. 2 (A), (B), and (C) show examples of a distributor that distributes power from a high-frequency wave power source 201 to each antenna element 202 via a connection terminal 203. As shown in FIG. 2 (A), the disc shape 204 is preferable because it has a simple structure. However, the same effect can be obtained with the star 205 shown in Fig. 2 (B). In addition, as shown in FIG. 2 (C), the coaxial cable 206 in which conductive paths are designed with the currents flowing through the antenna elements in phase is used to distribute power, and the same effect can be obtained. Returning to Fig. 1, when the conductor plate 106 is used, electromagnetic waves are also radiated from the conductor plate, and the electromagnetic waves radiated from each antenna element will be disturbed. Therefore, the grounded conductor plate 104 is sandwiched between each antenna element 101 and the conductor plate 106, and the antenna element has a structure in which a south frequency wave is applied through a hole in the conductive plate that is grounded. As a result, electromagnetic waves from the conductive plate 106 are shielded. As each antenna element can form a loop current as shown in Figure 3 (A), it has a -12-589926

⑺ 兩個端子3 Ο 1之圓弧形3 〇2之形狀以旋轉對稱地配置為 . 宜。但是,如圖3(Β)地將由旋轉對稱中心到接地端子間304 之距離與供電端子305之距離分別相異之天線元件303旋 轉對稱地配置亦可得相同之效果。 又,由於各天線元件之形狀,只要具備兩個以上的連接 端子401即可流入電流,如圖4(A)、(Β)、(C)分別所示,橢 · 圓形的天線元件402、多角形的天線元件4〇3、棒狀之天線 , 元件4 0 4亦可得到相同的效果。 再者’作為各天線元件的配置之一例,如圖5 (A)所示之 * 螺旋結構5 0 1,或圖5 (B)所式之多重構造5 〇 2亦可有相同的 k 效果。 其次,將蝕刻系統之全體構成,參照圖6說明。 · 於圖6(A),於電漿钱刻用反應器(容器)6〇1内,經由電聚 ^ 用調解器603,將以電漿用f=450 MHz高頻波電源602產生 之電磁波導入天線元件604。然後,使之與由磁場產生控 制部6 0 5所產生之磁場發生作用,高效率地產生電聚。 天線構造’如圖1所示’長度較高頻波波長人之1 / 4短,將 擊 至少兩個以上之天線元件1 0 1旋轉對稱地配置。於各天線 元件之兩個端子102之一邊經由導體棒1〇3接地於導體板 1〇4,另一邊通過開於導體砬104之孔,由導體棒ι〇5由高’ 頻波分配部1 〇 6與兩頻波電.源同相位或大致同相位地電氣 ,· 的連接。 配置於石英天板(介電質窗)606上部週邊之駐波控制部 6 〇 7,控制側壁附近之電漿分布。 -13 - 589926 (8) 製程氣體由氟體導入部608,通過噴盤609導入電漿蝕刻 用反應器601。 電漿蝕刻用反應器601與磁場產生控制器604,搭載於具 有抽氣設備6 1 0之基礎框架6 1 1。作為具體的抽氣設備6 1 0 以如渦輪分子幫浦之真空抽氣裝置所成。以該真空抽氣裝 置610將電漿蝕刻反應器(容器)601内減壓為特定之壓力。 於維持該減壓之電漿蝕刻用反應器(容器)6 0 1内搬入應 被處理之晶圓(直徑300 mm)613,裝填於有升降機構之處 理台6 1 2上。然後,該晶圓6 1 3經由偏壓用匹配箱6 1 5,被 施加由偏壓用400 kHz電源614產生之高頻波。 圖6(B)為,表示將基礎框架全體由上方所示之概略圖。 示於圖6(A)之電漿蝕刻用反應器601,搭載於基礎框架616 上。以具有2個電漿蝕刻用反應器60 1之蝕刻系統,於量 產,可做效率佳的平行處理。於本實施形態,利用一邊的 反應器進行蝕刻評價。 又,於相同基礎框架上,搭載2部電漿去光阻用反應器 6 1 7,進行光阻遮罩或蝕刻後表面聚合物之去除。 再者,晶圓卡匣裝填處6 1 8,有蝕刻前之晶圓待命處與 蝕刻後之晶圓待命處。晶圓以晶圓搬運機器人6 1 9搬運到 各處。 在此,利用UHF帶之先前方式與本實施形態1之構成及特 性(在於電漿產生部之電場分布)之對比加以說明。 首先,於圖7(A)概略表示,將作為利用UHF帶之先前方 式之一例之UHF-ECR電漿處理裝置。 -14- 589926⑺ The shape of the circular arc 3 〇2 of the two terminals 3 〇 1 is preferably arranged in a rotationally symmetrical manner. However, as shown in FIG. 3 (B), the antenna elements 303 having different distances from the center of rotation symmetry to the ground terminal 304 and the distance of the power supply terminal 305 are rotationally symmetrically arranged, and the same effect can be obtained. In addition, due to the shape of each antenna element, as long as two or more connection terminals 401 are provided, current can flow. As shown in FIGS. 4 (A), (B), and (C), respectively, the oval and circular antenna elements 402, Polygonal antenna element 403, rod-shaped antenna, element 404 can also achieve the same effect. Furthermore, as an example of the arrangement of each antenna element, the spiral structure 501 shown in Fig. 5 (A) or the multiple structure 502 shown in Fig. 5 (B) can also have the same k effect. Next, the overall configuration of the etching system will be described with reference to FIG. 6. · In Figure 6 (A), in the plasma reactor (container) 6001, the electromagnetic wave generated by the plasma frequency f = 450 MHz high-frequency power source 602 is introduced into the antenna via the electroconcentrator moderator 603. Element 604. Then, it is caused to interact with the magnetic field generated by the magnetic field generation control unit 605 to efficiently generate electricity. The antenna structure, as shown in FIG. 1, has a length of one-fourth that of a high-frequency wave and is short, and is configured to rotate at least two antenna elements 101 that are rotationally symmetrical. One of the two terminals 102 of each antenna element is grounded to the conductor plate 104 via the conductor rod 103, and the other side passes through the hole opened in the conductor 砬 104, and the conductor rod 205 and the high frequency band 1 〇6 and the two-frequency wave electric source in the same phase or approximately the same phase of the electrical connection. A standing wave control unit 607 arranged around the upper part of the quartz top plate (dielectric window) 606 controls the plasma distribution near the side wall. -13-589926 (8) The process gas is introduced into the plasma etching reactor 601 from the fluorine gas introduction part 608 through the spray plate 609. The plasma etching reactor 601 and the magnetic field generation controller 604 are mounted on a base frame 6 1 1 having a suction device 6 1 0. As a specific extraction device 6 1 0, a vacuum extraction device such as a turbo molecular pump is used. The inside of the plasma etching reactor (container) 601 is reduced to a specific pressure by the vacuum evacuation device 610. Into the plasma etching reactor (container) 601 that maintains the reduced pressure, a wafer (300 mm in diameter) 613 to be processed is loaded, and the wafer is loaded on a processing table 6 1 2 with a lifting mechanism. Then, the wafer 6 1 3 is applied with a high-frequency wave generated by the bias 400 kHz power source 614 via the bias matching box 6 1 5. FIG. 6 (B) is a schematic view showing the entire basic frame from above. The plasma etching reactor 601 shown in FIG. 6 (A) is mounted on a base frame 616. An etching system having two plasma etching reactors 60 1 is used for mass production and can perform parallel processing with high efficiency. In this embodiment, the etching evaluation is performed by using one reactor. In addition, two plasma reactors for photoresist removal 6 1 7 were mounted on the same basic frame to remove photoresist masks or surface polymers after etching. Furthermore, the wafer cassette loading position 6 1 8 has a wafer standby position before etching and a wafer standby position after etching. The wafer is transferred to each place by a wafer transfer robot 6 1 9. Here, a description will be given using a comparison between the previous method of the UHF band and the structure and characteristics of the first embodiment (the electric field distribution in the plasma generating section). First, as shown schematically in FIG. 7 (A), a UHF-ECR plasma processing apparatus will be described as an example of a conventional method using a UHF band. -14- 589926

(9) 石英天板(介電質窗)700之上部設有以導電性平板所構 成之天線元件701與氧化鋁(A1203)製之天線間隔器702。 又,天線元件周邊部設有L字型之導電性扼流圈7 0 3與氧化 鋁(Al2〇3)製之環704所構成之駐波控制部。以此可控制側 壁附近之電漿分布。 圖7(B)為表示,示於圖7(A)噴盤705正下之電漿產生部 7 0 6之電場成分分布計算所估計之例。(9) An upper part of the quartz top plate (dielectric window) 700 is provided with an antenna element 701 made of a conductive flat plate and an antenna spacer 702 made of alumina (A1203). In addition, a standing wave control section composed of an L-shaped conductive choke 703 and a ring 704 made of aluminum oxide (Al203) is provided on the periphery of the antenna element. This can control the plasma distribution near the side walls. Fig. 7 (B) shows an example of calculation and estimation of the electric field component distribution of the plasma generating section 7 06 directly below the spray plate 705 in Fig. 7 (A).

於電漿密度為4·5χ 101G cm·3(以入射離子電流(ICF)相當 於1.2 mA/cm2)之條件,可以知道,中心附近以z軸方向電 場707為主成分,r方向成分708於天線元件端擁有極大 值,靠中央部之區域會出現電場強度強的部分。又,Θ方 向之電場理論上不存在。於該強電場部分產生初期電漿, 由該電漿之擴散向外側擴大,於晶圓有,均勻的離子電流 入射。 但是,將電漿密度增加為9.0xl01GcnT3(以ICF相當於2.5 mA/cm2),則於電漿界面垂直的z軸方向電場709變成會被 <電漿反射,由天線元件之電磁波,不傳播到電漿中。以此, 於高功率領域電漿密度對輸入電力顯示飽和傾向,高電漿 密度領域受到限制。 另一方面,將本實施形態1之電漿處理裝置示於圖 8(A)。然後,將噴盤801正下之電漿產生部802之電場成分 分布以計算估計之,本實施形態之例示於圖8(B)。於該例 利用示於圖1之天線構成。再者,石英天板800之周邊部沒 有駐波控制部。 -15- 589926Under the condition that the plasma density is 4 · 5χ 101G cm · 3 (the incident ion current (ICF) is equivalent to 1.2 mA / cm2), it can be known that the electric field 707 in the z-axis direction is the main component and the r-direction component 708 is near the center. The antenna element end has a maximum value, and a strong electric field appears in a region near the center. The electric field in the Θ direction does not exist theoretically. An initial plasma is generated in the strong electric field portion, and the plasma spreads outward from the plasma, and a uniform ion current is incident on the wafer. However, if the plasma density is increased to 9.0xl01GcnT3 (equivalent to 2.5 mA / cm2 at ICF), the electric field 709 in the z-axis direction perpendicular to the plasma interface will be reflected by the plasma, and will not be propagated by the electromagnetic wave of the antenna element. Into the plasma. As a result, the plasma density in the high-power area shows a tendency to saturate the input power, and the high-plasma density area is restricted. On the other hand, the plasma processing apparatus of the first embodiment is shown in Fig. 8 (A). Then, the electric field component distribution of the plasma generating section 802 directly below the spray plate 801 is calculated and estimated. An example of this embodiment is shown in Fig. 8 (B). In this example, the antenna configuration shown in Fig. 1 is used. Furthermore, there is no standing wave control unit in the peripheral portion of the quartz top plate 800. -15- 589926

(ίο)(ίο)

因於各天線元件101導體棒103、105之配置相位一致, 於各天線元件面將向相同旋轉方向流電流。施加於各天線 元件之電磁波之相位以同相位為宜,但,相位之差於土 1 0 度的範圍亦可得到相同的效果。又,即使因金屬元件的熱 膨脹或加工精度而有旋轉對稱之誤差,流於各天線元件的 電流之旋轉方向相同則可得相同的效果。由流如該旋轉方 向之電流,於z軸方向產生誘導磁場,以該誘導電場,形 成Θ方向之誘導電場。電漿密度為4·5χ101() cm·3 (以入射離 子電流(ICF)相當於1.2 mA/cm2)的條件,於天線元件正下Θ 方向之電場805成極大值。 又,與圖7(A)所示之先前方式相對地,於中心附近幾乎 無z軸方向之電場806,隨著接近側壁,r方向電場807會增 加0 再者,將電漿密度增加為9.0xl01Gcm·3(以ICF相當於2.5 mA/cm2),則因與電漿界面平行的Θ方向電場808,不會被 電漿反射而傳播,電漿由該Θ方向電場產生。由此可知本 方式以Θ方向電場,可控制電漿至高功率領域,為可產生 高電漿密度之方式。 於圖8 (A)所示電漿處理裝置,以測定對晶圓8 0 3面入射 之離子電流(ICF)確認了 Θ方向電場之存在。於本裝置可由 外部線圈8 0 4施加磁場。以反轉該線圈磁場之方向觀測之 ICF分布示於圖9。 由本實施形態所產生之電場如示於圖8(B),因存在Θ方 向電場805,與r方向電場807,於真實的電漿中的電場向 -16-Because the arrangement phases of the conductor rods 103 and 105 of each antenna element 101 are the same, a current will flow in the same rotation direction on each antenna element surface. The phase of the electromagnetic wave applied to each antenna element is preferably the same phase, but the same effect can be obtained even if the phase difference is within a range of 10 degrees. In addition, even if there is an error in rotational symmetry due to thermal expansion or processing accuracy of the metal element, the same effect can be obtained if the rotation direction of the current flowing through each antenna element is the same. An induced magnetic field is generated in the z-axis direction from a current flowing in the rotation direction, and an induced electric field in the direction of Θ is formed by the induced electric field. With a plasma density of 4 · 5χ101 () cm · 3 (with an incident ion current (ICF) equivalent to 1.2 mA / cm2), the electric field 805 in the direction Θ directly below the antenna element becomes a maximum. In contrast to the previous method shown in FIG. 7 (A), there is almost no electric field 806 in the z-axis direction near the center. As the side wall approaches, the electric field 807 in the r-direction increases by 0. Furthermore, the plasma density is increased to 9.0. xl01Gcm · 3 (equivalent to 2.5 mA / cm2 in ICF), the electric field 808 in the Θ direction parallel to the plasma interface will not be reflected by the plasma and propagate, and the plasma is generated by the electric field in the Θ direction. It can be seen from this method that the electric field in the direction of Θ can control the plasma to the high power area, and it is a method that can generate high plasma density. In the plasma processing apparatus shown in FIG. 8 (A), the existence of an electric field in the Θ direction was confirmed by measuring the ion current (ICF) incident on the 803 plane of the wafer. A magnetic field can be applied to the device by an external coil 804. The ICF distribution observed by reversing the direction of the coil magnetic field is shown in FIG. 9. The electric field generated by this embodiment is shown in Fig. 8 (B). Because there is an electric field 805 in the Θ direction and an electric field 807 in the r direction, the electric field in a real plasma is -16-

589926 量成旋滿。 如圖9(A)所示,磁場901向下時,因電漿中的荷電粒子, 將受向徑方向振動的外力902,成有高低差之ICF分布 903。注視某一部分904之荷電粒子之行為,則向與捲著漩 渦的電場905垂直的方向有羅倫茲力的作用。該結果,於 電漿中荷電粒子將受向外之力907。 其次,電磁波的相位相異1 8 0度時,捲著旋渦的電場9 0 8 將朝向逆方向,向其垂直之方向有羅倫茲力909的作用, 於電漿中的荷電粒子,將與磁場9 0 1向下時相反,將受向 中心方向的力9 1 0。 作為其結果,磁場9 0 1向下時,因電漿中的荷電粒子受 向半徑方向振動的力902,ICF分布903成擴散分布。 另一方面,如圖9(B)所示,磁場911向上時,因電漿中的 荷電粒子受向旋轉方向振動的外力912,成Μ型之ICF分布 9 1 3。注視某一部分9 1 4之荷電粒子之行為,則與捲著漩渦 的電場915垂直的方向有羅倫茲力916的作用。該結果,電 漿中的荷電粒子將受旋轉方向之力9 1 7。 其次,電磁波的相位相異1 8 0度時,捲著漩渦的電場9 1 8 朝向逆方向,與其垂直之方向有羅倫茲力919的作用,電 漿中的荷電粒子與磁場9 1 1向上時相反,將受旋轉方向之 力 920。 其結果,磁場911向上時,因電漿中的荷電粒子受向旋 轉方向振動的外力912,ICF分布913得到反映電漿產生部 之分布之Μ型分布。 -17- 589926589926 The volume is full. As shown in FIG. 9 (A), when the magnetic field 901 is downward, the charged particles in the plasma will receive the external force 902 that vibrates in the radial direction, forming an ICF distribution 903 with a step. Looking at the behavior of the charged particles in a certain portion 904, a Lorentz force acts in a direction perpendicular to the electric field 905 in which the vortex is rolled. As a result, the charged particles in the plasma will be subjected to an outward force 907. Secondly, when the phases of the electromagnetic waves are 180 degrees different, the electric field 9 0 8 wrapped with the vortex will be in the reverse direction and will have a Lorentz force 909 in the vertical direction. The charged particles in the plasma will interact with When the magnetic field 9 0 1 is downward, it will be opposite to the central force 9 1 0. As a result, when the magnetic field 9 0 1 is downward, the charged particles in the plasma receive a force 902 that vibrates in the radial direction, and the ICF distribution 903 becomes a diffusion distribution. On the other hand, as shown in FIG. 9 (B), when the magnetic field 911 is upward, the charged particles in the plasma are subjected to an external force 912 that vibrates in the direction of rotation, forming an M-shaped ICF distribution 9 1 3. Looking at the behavior of the charged particles of 9 1 4, the Lorentz force 916 acts in a direction perpendicular to the electric field 915 in the vortex. As a result, the charged particles in the plasma are subjected to the force of the rotation direction 9 1 7. Secondly, when the phases of the electromagnetic waves are 180 degrees different, the electric field 9 1 8 wrapped in a vortex is oriented in the reverse direction, and a Lorentz force 919 acts in a direction perpendicular to it. The charged particles in the plasma and the magnetic field 9 1 1 go up. Instead, it will be subjected to a force of 920 in the direction of rotation. As a result, when the magnetic field 911 is upward, the charged particles in the plasma are subjected to an external force 912 that vibrates in the direction of rotation, and the ICF distribution 913 obtains an M-type distribution that reflects the distribution of the plasma generating portion. -17- 589926

(12) 由以上,本發明證實可以產生Θ方向電場。不只將ICF分 布以磁場的強弱,磁場向量之正負方向,即由線圈電流之 正負而可選擇。又,藉由永久磁鐵產生與螺線管線圈相同 的磁場時5亦可得相同的效果。(12) From the above, the present invention has confirmed that an electric field in the Θ direction can be generated. The ICF is not only distributed by the strength of the magnetic field. The positive and negative directions of the magnetic field vector, that is, the positive and negative of the coil current, can be selected. The same effect can be obtained when the same magnetic field as that of the solenoid coil is generated by the permanent magnet.

其次,為將以如圖6所示裝置構成所得之電漿特性,與 先前之UHF-ECR(圖7)比較,利用Cl2、HBr及02作為氣體, 壓力設定為〇.4Pa時之ICF之均勻性,與對電磁波的功率之 線性之調查例示於圖1 0。 由先前之UHF-ECR所得之ICF分布1001(圖10(A)),將電 磁波的功率由5 0 0 W到8 0 0 W增加,則多少有點Μ型分布, 但保持大致均勻的IC F分布,漸顯示飽和傾向,電流密度 增力σ 。Secondly, in order to compare the plasma characteristics obtained with the device shown in Fig. 6 with the previous UHF-ECR (Fig. 7), the uniformity of ICF when using Cl2, HBr and 02 as the gas and the pressure is set to 0.4 Pa An example of the investigation of the linearity of power and the power of electromagnetic waves is shown in FIG. 10. The ICF distribution 1001 obtained from the previous UHF-ECR (Figure 10 (A)). Increasing the power of the electromagnetic wave from 500 W to 800 W will have a somewhat M-shaped distribution, but maintain a roughly uniform IC F distribution. , Gradually showing saturation tendency, current density increase σ.

另一方面,由用於本發明示於圖6之電漿處理裝置所得 之ICF分布1002(圖10(B)),將電磁波的功率由500 W到800 W增加,則多少有點高低差之ICF分布,中心附近成大致 平坦的分布,電流密度增加。 為碹認於兩方式1001、1 002對功率之ICF線性,於橫軸 為功率,縱軸為f300mm面内之ICF平均值繪製之結果示於 圖10(C)。於先前方式之UHF-ECR之ICF分布1004,於500 W 以上顯示飽和傾向,但本實施形態之ICF分布1 005,則可 見指數函數的增加傾向,即使800 W以上亦增加電漿密 度,可產生高電漿密度。 其此,於如圖6所示電漿處理裝置,於相異氣體系之ICF 分布示於圖1 1,研究先前所述對多步驟系列處理能力。 -18- 589926On the other hand, the ICF distribution 1002 (Fig. 10 (B)) obtained from the plasma processing apparatus used in the present invention shown in Fig. 6 increases the power of the electromagnetic wave from 500 W to 800 W, and the ICF is a little higher or lower. The distribution is approximately flat near the center, and the current density increases. In order to recognize the ICF linearity of 1001 and 002 pairs of power in two ways, the power is plotted on the horizontal axis and the average value of ICF in the plane of f300mm is plotted. The results are shown in Figure 10 (C). The ICF distribution of the UHF-ECR in the previous method is 1004, and shows a saturation tendency above 500 W. However, the ICF distribution of this embodiment is 1 005, which shows the increasing tendency of the exponential function. Even if the plasma density is higher than 800 W, the plasma density can be increased. High plasma density. Here, the ICF distribution in the plasma processing device shown in Fig. 6 and the heterogeneous gas system is shown in Fig. 11 to study the previously described multi-step series processing capabilities. -18- 589926

(13) 圖11(A)為表示利用多晶矽(Poly-Si)之蝕刻條件(以 Cl2、HBr及02作為氣體)1101,圖11(B)為表示利用BARC 的蝕刻條件(以Ar、CF4、HBr及02作為氣體)1102時之ICF 分布測定結果。任一方的氣體系均得到類似之分布,由該 氣體系之相異對ICF之變化少,可期待擁有對多種材料加 工之廣泛的適用範圍。 (實施形態2)(13) Figure 11 (A) shows the etching conditions using Poly-Si (Cl2, HBr, and 02 as gases) 1101, and Figure 11 (B) shows the etching conditions using BARC (Ar, CF4, HBr and 02 as the gas) ICF distribution measurement results at 1102. The gas system on either side has a similar distribution. The differences in the gas system have little change to the ICF, and it can be expected to have a wide range of applications for processing multiple materials. (Embodiment 2)

以下說明本發明之實施形態2之半導體裝置之製造方 法0 於是於圖6之電漿處理裝置,係作為閘極電極加工步驟 之一例,研究關於利用單一減壓容器之閘極形成之蝕刻的 一貫處理。閘極電極加工後之形狀示於圖1 2。The method for manufacturing a semiconductor device according to the second embodiment of the present invention will be described below. Therefore, the plasma processing apparatus shown in FIG. 6 is an example of the processing steps of the gate electrode. deal with. The shape of the gate electrode after processing is shown in FIG. 12.

首先,於以02與鹵素混合系之ArF光阻劑1 2 0 1之細線化 及以CF4為基之BARC 1 202蝕刻,要求高選擇比,於硬遮 罩膜之氮化矽1 2 03之蝕刻由於係較難蝕刻的膜質,故要求 高密度電漿。又,於多晶矽1204之蝕刻為得垂直形狀有於 低璧力下處理之必要。另一方面,於過姓刻多晶石夕1 2 0 4與 底層氧化矽1205要求高選擇比。由於如此地,於各層所適 之條件大大地相異因此需要廣泛的適用範圍。 藉由應用本發明電漿處理裝置,向高密度領域的適用範 圍擴大,可將上述多步驟之·系列處理效率佳地進行,可實 現將遮罩材、抗反射膜、閘極材料,高產能地於同一腔體 内一貫處理。與將一連串的加工,利用個別步驟的專用裝 置,於裝置間的晶圓來回時,或以具有複數的專用減壓容 -19- 589926First, the thinning of the ArF photoresist 1 2 0 1 mixed with 02 and halogen and the etching of CF4 based BARC 1 202 require a high selection ratio. For silicon nitride 1 2 03 of a hard mask film Etching requires a high-density plasma because it is a difficult-to-etch film. In addition, the polycrystalline silicon 1204 is etched in order to obtain a vertical shape, and it is necessary to process it at a low pressure. On the other hand, Yu Guoxing's polycrystalline stone 1 2 0 4 and the underlying silicon oxide 1205 require a high selection ratio. Because of this, the conditions applicable to each layer are greatly different, so a wide range of applications is required. By applying the plasma processing device of the present invention, the scope of application in the high-density field can be expanded, and the above-mentioned multi-step series processing can be performed efficiently, and the masking material, anti-reflection film, and gate material can be realized with high productivity. Ground is always processed in the same cavity. And a series of processing, using a dedicated device for each step, when the wafer between the devices back and forth, or with a plurality of dedicated decompression capacity -19- 589926

(14) 器之裝置進行時比較,可節約將晶圓由個別的專用裝置或 者減壓容器搬入搬出之多餘時間。 又,即使光阻劑膜或抗反射膜之種類相異,相同地,可 得該時間縮短的效果。如此地由單一減壓容器構成,可廣 範圍地對應各種製程條件。其係,對提高量產效率極為有 利。 (實施形態3)(14) Compared with the device of the device, it can save the extra time of moving the wafer in and out from the individual dedicated device or the decompression container. In addition, even if the types of the photoresist film or the antireflection film are different, similarly, the effect of shortening the time can be obtained. By being composed of a single decompression vessel in this way, it can respond to a wide range of process conditions. This system is extremely beneficial for improving mass production efficiency. (Embodiment 3)

以下說明本發明之實施形態3之半導體裝置之製造方 法0 利用應用本發明構成之裝置(圖6),將晶圓表面之金屬 (鋁)導線以蝕刻形成之例示於圖1 3。導線層之積層構造由 上為,光阻劑1 3 0 1、抗反射膜1 3 02、氮化鈦1 3 0 3、鋁1 3 04、 氮化鈦1 3 0 3、氧化矽1 3 0 5。利用氯 '三氣化硼作為蝕刻氣 體。 首先,於抗反射膜之BARL 1 302之加工,將被要求與上 部光阻劑1 3 0 1之選擇比,又,於氮化鈦1 3 0 3的加工,要求 南偏區。再者’於铭1 3 0 4之加工’被要求南的垂直加工精 度及高密度電漿之高速蝕刻。然後再者,於過蝕刻,被要 求與底層氧化膜1305之高選擇比。 由利用本發明構成之電漿裝置,將適用範圍擴大到高密 度領域,由上數多步驟系列處理,可將遮罩材、抗反射膜、 金屬導線材料,高產能地於同一腔體内一貫處理。 於利用本發明構成之電漿裝置,成膜CVD或濺鍍等,利 用電漿所產生之種種物理、化學的作用之其他半導體處理 -20- 589926A method for manufacturing a semiconductor device according to a third embodiment of the present invention will be described below. An example in which a metal (aluminum) wire on a wafer surface is formed by etching using a device constructed according to the present invention (Fig. 6) is shown in Fig. 13. The laminated structure of the wire layer is as follows: photoresist 1 3 0 1, antireflection film 1 3 02, titanium nitride 1 3 0 3, aluminum 1 3 04, titanium nitride 1 3 0 3, silicon oxide 1 3 0 5. Chlorine 'boron trioxide was used as the etching gas. First, the processing of the BARL 1 302 in the anti-reflection film will be required to have a selection ratio with the upper photoresist 1 3 0 1, and the processing of the titanium nitride 1 3 3 will require the southerly region. Furthermore, the "processing of Yu Ming 1 3 0 4" is required to have a vertical processing accuracy of the south and high-speed etching of a high-density plasma. Then, for over-etching, a high selection ratio with the underlying oxide film 1305 is required. The plasma device constructed by using the present invention expands the scope of application to the high-density field, and can process masking materials, anti-reflection films, and metal wire materials in the same cavity with high productivity through a series of multiple steps. deal with. In the plasma device using the present invention, film formation CVD or sputtering, and other semiconductor processing using various physical and chemical effects of plasma -20- 589926

〇5) 亦可發揮於廣範圍條件之均勻的處理性能。 上述實施形態2及實施形態3所導出之半導體裝置之製 造方法之特徵列舉如下。〇5) Uniform processing performance in a wide range of conditions can also be used. The characteristics of the method for manufacturing a semiconductor device derived from the second and third embodiments are listed below.

(1) 一種半導體裝置之製造方法,其特徵在於包含:準備 電漿處理裝置,其包含容器,其可保持減壓氣氛;處理台, 其載置位於該容器内之晶圓(半導體晶圓);介電質窗,其 配置於與該晶圓相對;天線元件,其位於該介電質窗之背 面,將特定氣體電漿化之電磁波導入該容器,該天線元件 由至少兩個以上的旋轉對稱地配置之天線元件所構成,該 天線元件之各個一端接地,另一端與高頻波電源以同相位 或大致同相位地電氣的連接;於該處理台上裝填應被處理 晶圓;及藉由控制流於該容器天線元件之環狀電流,對該 晶圓進行連續或斷續的表面處理。 (2) 於前述(1)項,其中藉由控制流於天線元件之環狀電 流,對至少2種以上之膜種或處理條件連續或斷續的處 理,以單一的減壓容器進行。(1) A method for manufacturing a semiconductor device, comprising: preparing a plasma processing device including a container that can maintain a reduced pressure atmosphere; and a processing table on which a wafer (semiconductor wafer) placed in the container is placed. A dielectric window, which is arranged opposite to the wafer; an antenna element, which is located on the back of the dielectric window, guides electromagnetic waves of a specific gas into the container, and the antenna element is rotated by at least two or more It is composed of symmetrically arranged antenna elements. Each end of the antenna element is grounded, and the other end is electrically connected with the high-frequency wave power source in the same phase or substantially the same phase. The processing table is loaded with the wafer to be processed; and by control The circular current flowing through the container antenna element performs continuous or intermittent surface treatment on the wafer. (2) In the above item (1), by controlling the loop current flowing to the antenna element, continuous or intermittent processing of at least two or more film types or processing conditions is performed in a single decompression container.

(3 )於前述(1)項,其中藉由控制天線元件附近之環狀電 場,對至少2種以上之膜種或處理條件連續或斷續的進行 處理。 (4) 於前述(1)項,其中藉*控制天線元件附近之環狀電 場,對至少2種以上之膜種·或處理條件連續或斷續的處 理,以單一的減壓容器進行。 (5) —種半導體裝置之製造方法,其特徵在於包含:準備 電漿處理裝置,其包含容器,其可保持減壓氣氛;處理台, -21 - 589926(3) In the above item (1), by controlling the loop electric field near the antenna element, at least two or more film types or processing conditions are processed continuously or intermittently. (4) In the above item (1), the ring-shaped electric field near the antenna element is used to continuously or intermittently process at least two or more film types or processing conditions in a single decompression container. (5) A method for manufacturing a semiconductor device, comprising: preparing a plasma processing device including a container that can maintain a reduced pressure atmosphere; a processing table, -21-589926

(16)(16)

其載置位於該容器内之晶圓;介電質窗,其配置於與該晶 圓相對;天線元件,其位於該介電質窗之背面,將特定氣 體電漿化之電磁波導入該容器,該天線元件由至少兩個以 上的旋轉對稱地配置之天線元件所構成,該天線元件之各 個一端接地,另一端與高頻波電源以同相位或大致同相位 地電氣的連接;於該處理台上裝填應被閘極電極加工之晶 圓;及藉由控制流於該容器天線元件之環狀電流,對該晶 圓進行連續或斷續的表面處理。 【發明之效果】 依本發明,於利用高頻波之電漿製造裝置,藉由誘導耦 合方式生成電漿,可於廣範圍之氣體種、壓力、密度條件 下,對被處理試料施以均勾的加工,特別是可擴大適用範 圍到低壓力領域及高電漿密度領域。又,對閘極電極加工 或金屬導線加工等多層膜及多數步驟,以單一的減壓容器 一貫應用,可提高生產效率,對半導體裝置之製造方法之 產能提升有效。It carries a wafer located in the container; a dielectric window is disposed opposite the wafer; an antenna element is located on the back of the dielectric window, and guides electromagnetic waves of a specific gas into the container, The antenna element is composed of at least two rotationally symmetrical antenna elements. Each end of the antenna element is grounded, and the other end is electrically connected to the high-frequency wave power source in the same phase or substantially the same phase. A wafer to be processed by a gate electrode; and continuous or intermittent surface treatment of the wafer by controlling a loop current flowing through the container antenna element. [Effects of the invention] According to the present invention, in a plasma manufacturing apparatus using high-frequency waves, a plasma is generated by an inductive coupling method, and a uniform test can be applied to a sample to be processed under a wide range of gas species, pressure, and density conditions. Processing, especially can be extended to low pressure areas and high plasma density areas. In addition, multi-layer films such as gate electrode processing, metal wire processing, and many steps are consistently applied in a single decompression container, which can improve production efficiency and effectively increase the productivity of semiconductor device manufacturing methods.

【圖示之簡要說明】 圖1為關於本發明實施形態1之天線元件之說明圖。 圖2(A)-2(C)為表示關於本發明實施形態1之天線元件要 部之變形例之平面圖。 圖3(A)、3(B)為表示關於木發明實施形態1之天線元件要 部之變形例之平面圖。 圖4(A)-4(C)為表示關於本發明實施形態1之天線元件要 部之變形例之平面圖。 -22- 589926[Brief description of the diagram] FIG. 1 is an explanatory diagram of an antenna element according to the first embodiment of the present invention. Figs. 2 (A) -2 (C) are plan views showing a modified example of the main part of the antenna element according to the first embodiment of the present invention. 3 (A) and 3 (B) are plan views showing a modified example of the main part of the antenna element according to the first embodiment of the invention. 4 (A) -4 (C) are plan views showing a modified example of the main part of the antenna element according to the first embodiment of the present invention. -22- 589926

(17) 圖5(A)、5(B)為表示關於本發明實施形態1之天線元件要 部之變形例之平面圖。 圖6(A)、6(B)為表示關於本發明實施形態1之電漿處理裝 置之說明圖。 圖7(A)、7(B)為說明先前之電漿處理裝置之特性之圖。 圖8(A)、8(B)為說明關於本發明實施形態1之電漿處理裝 置之特性之圖。 圖9(A)、9(B)為表示關於本發明實施形態1之電漿處理裝 置之IC F分布之磁場向量方向依存性之說明圖。 圖10(A)-10(C)為說明關於本發明實施形態1之電漿處理 裝置之ICF分布之均勻性與對UHF功率之線性之特性圖。 圖1 1(A)-1 1(B)為說明關於本發明實施形態1之電漿處理 裝置之ICF分布之氣體系依存性之說明圖。 圖12為表示關於本發明實施形態2之半導體裝置之製造 過程之剖面圖。 圖13為表示關於本發明實施形態3之半導體裝置之製造 過程之剖面圖。 【圖式代表符號說明】 101…天線元件, 102…連接端子, 1 03 ...導體棒’ 104…導電性圓盤, 105...導體棒, 10 6...高頻波分配部 -23- 589926(17) Figures 5 (A) and 5 (B) are plan views showing a modified example of the main part of the antenna element according to the first embodiment of the present invention. 6 (A) and 6 (B) are explanatory diagrams showing a plasma processing apparatus according to Embodiment 1 of the present invention. 7 (A) and 7 (B) are diagrams illustrating the characteristics of a conventional plasma processing apparatus. 8 (A) and 8 (B) are diagrams for explaining the characteristics of the plasma processing apparatus according to the first embodiment of the present invention. 9 (A) and 9 (B) are explanatory diagrams showing the dependence of the magnetic field vector direction on the IC F distribution of the plasma processing apparatus according to the first embodiment of the present invention. Figures 10 (A) -10 (C) are graphs illustrating the uniformity of the ICF distribution and the linearity with respect to UHF power of the plasma processing apparatus according to the first embodiment of the present invention. Figures 11 (A) -1 1 (B) are explanatory diagrams illustrating the dependence of the gas system on the ICF distribution of the plasma processing apparatus according to the first embodiment of the present invention. Fig. 12 is a sectional view showing a manufacturing process of a semiconductor device according to a second embodiment of the present invention. Fig. 13 is a sectional view showing a manufacturing process of a semiconductor device according to a third embodiment of the present invention. [Illustration of Symbols in the Drawings] 101 ... antenna element, 102 ... connection terminal, 1 03 ... conductor rod '104 ... conductive disc, 105 ... conductor rod, 10 6 ... high-frequency wave distribution unit-23- 589926

107…高頻波導入部 20 1 ...高頻波導入部, 202…天線元件之位置, 203…連接端子, 2 04...圓盤型高頻波分配部, 205.. .星型高頻波分配部, 2 0 6 ...以同軸線之高頻波分配部, 301…連接端子, 3 02…圓弧型天線元件, 3 03…角度相異之天線元件, 304.. .由旋轉對稱中心至接地端子之距離, 3 04.··由旋轉對稱中心至供電端子之距離, 401…連接端子, 4 02…橢圓形天線元件, 403…多角形之天線元件, 404··.棒狀天線元件, 5 0 1…螺旋狀配置之天線元件, 5 02...配置於多重同心圓上之天線元件, 60 1 ...電漿蝕刻用反應器, 602.··電漿用f = 450 MHz高頻’波電源, 603.. .電漿用調解器, 604···天線元件, 605 ...磁場控制部, 606.··石英天板, -24- 589926107 ... high-frequency wave introduction section 20 1 ... high-frequency wave introduction section, 202 ... location of antenna elements, 203 ... connection terminal, 2 04 ... disk-shaped high-frequency wave distribution section, 205 .. star-shaped high-frequency wave distribution section, 2 0 6 ... coaxial high-frequency wave distribution section, 301 ... connecting terminal, 3 02 ... arc-shaped antenna element, 3 03 ... antenna element with different angles, 304 ... distance from the center of rotation symmetry to the ground terminal, 3 04. ·· The distance from the center of rotation symmetry to the power supply terminal, 401 ... connecting terminal, 4 02 ... elliptical antenna element, 403 ... polygonal antenna element, 404 .... rod antenna element, 5 0 1 ... spiral Antenna elements arranged in the same shape, 5 02 ... antenna elements arranged on multiple concentric circles, 60 1 ... reactors for plasma etching, 602. ·· f = 450 MHz high-frequency 'wave power supply for plasma, 603 .. plasma regulator, 604 ... antenna element, 605 ... magnetic field control unit, 606 ... quartz top plate, -24-589926

(19) 607·.·駐波控制部, 608…製程氣體導入部, 609…噴盤, 610…真空抽氣裝置, 61 1 ...基礎框架, 6 1 2 ...處理台, 6 1 3 …φ 3 00mm 晶圓,(19) 607 ··· Standing wave control section, 608… Process gas introduction section, 609… Spray tray, 610… Vacuum extraction device, 61 1 ... Basic frame, 6 1 2 ... Processing table, 6 1 3… φ 3 00mm wafer,

614.. .偏壓用400 kHz電源, 61 5…偏壓用匹配箱, 6 16...電漿蝕刻用反應器, 617.. .電漿去光阻用反應器, 6 18...晶圓卡匣裝填處, 6 19…晶圓搬運機器人, 70 1 ...天線元件, 1 20 1…光阻劑, 1 202...抗反射膜,614 .. 400 kHz power supply for bias voltage, 61 5 ... matching box for bias voltage, 6 16 ... reactor for plasma etching, 617 ... reactor for plasma photoresist removal, 6 18 ... Wafer cassette loading area, 6 19 ... wafer handling robot, 70 1 ... antenna element, 1 20 1 ... photoresist, 1 202 ... anti-reflective film,

1 2 03…氤化矽, 1 204…多晶矽, 1 205…氧化矽, 1 30 1…光阻劑, 1 302.·.抗反射膜, 1 3 03.··氮化鈦, 1 304…鋁, 1 3 05…氧化矽〇 -25-1 2 03… halide silicon, 1 204… polycrystalline silicon, 1 205… silicon oxide, 1 30 1… photoresist, 1 302 ..... anti-reflective film, 1 3 03 ... · titanium nitride, 1 304 ... aluminum , 1 3 05 ... Silicon oxide〇-25-

Claims (1)

589926589926 第091120873號專利申請案 中文申請專利範圍替換本(93年1月) 拾、申請專利範圍 1. 一種電漿處理裝置,其特徵在於包含: 容器,其可保持於減壓氣氛; 處理台,其載置位於該容器内之被加工物; 介電質窗,其配置於與該被加工物相對; 及天線元件,其位於該介電質窗之背面,將特定氣體 電漿化之電磁波導入該容器内, 其中該天線元件由至少兩個以上的旋轉對稱地配置 之天線元件所構成, 該天線元件之各個一端接地,另一端與高頻電源以同 相位或大致同相位地電氣的連接。 2. 如申請專利範圍第1項之電漿處理裝置,其中高頻波電 源之頻率為100 MHz以上3 GHz以下。 3. 如申請專利範圍第1或2項之電漿處理裝置,其中各天線 元件之長度較高頻電源之波長λ之1 /4為短。 4. 如申請專利範圍第1項之電漿處理裝置,其中各天線元 件之供電端與接地端之距離較7 mm為長較750 mm為 短。 5. 如申請專利範圍第1項之電漿處理裝置,其中各天線元 件之長度較7 mm為長較750 mm為短。 6. 如申請專利範圍第1項之電漿處理裝置,其中由旋轉對 稱中心至各天線元件之接地端之距離分別大致相等,又 由該中心至各天線元件之供電端之距離分別大致相等。 7. 如申請專利範圍第1項之電漿處理裝置,其中各天線元No. 091120873 Patent Application Chinese Application Patent Scope Replacement (January 1993) Pick up and apply for patent scope 1. A plasma processing device, characterized by comprising: a container that can be maintained in a reduced pressure atmosphere; a processing table, which A processed object located in the container is placed; a dielectric window is disposed opposite to the processed object; and an antenna element is located on the back of the dielectric window, and the electromagnetic wave in which a specific gas is plasmatized is introduced into the object. Inside the container, the antenna element is composed of at least two rotation-symmetrically arranged antenna elements, one end of each of the antenna elements is grounded, and the other end is electrically connected to the high-frequency power source in the same phase or substantially the same phase. 2. For example, the plasma processing device in the first patent application scope, in which the frequency of the high-frequency power source is 100 MHz to 3 GHz. 3. For the plasma processing device of the scope of application for patents 1 or 2, the length of each antenna element is shorter than 1/4 of the wavelength λ of the higher frequency power supply. 4. For the plasma processing device in the first patent application, the distance between the power supply terminal and the ground terminal of each antenna element is longer than 7 mm and shorter than 750 mm. 5. For the plasma processing device in the scope of patent application item 1, the length of each antenna element is longer than 7 mm and shorter than 750 mm. 6. For example, the plasma processing device in the scope of patent application, the distance from the center of rotation to the ground terminal of each antenna element is approximately equal, and the distance from the center to the power supply terminal of each antenna element is approximately equal. 7. As for the plasma processing device in the scope of patent application, each antenna element 589926 件以至少多重地同心圓狀地配置。 8. 如申請專利範圍第1項之電漿處理裝置,其中各天線元 件以螺旋狀配置。 9. 如申請專利範圍第1項之電漿處理裝置,其中各天線元 件之形狀為圓弧之一部分。 1 0 ·如申請專利範圍第1項之電漿處理裝置,其中各天線元 件之形狀為棒、橢圓或多角形。 1 1 ·如申請專利範圍第1項之電漿處理裝置,其中各天線元 件經由接地之導體板之孔由高頻波電源供電。 1 2 ·如申請專利範圍第1項之電漿處理裝置,其中各天線元 件經由平板形導體’由南頻波電源供電。 1 3 ·如申請專利範圍第1項之電漿處理裝置,其中各天線元 件經由同轴線’由南頻波電源供電。 1 4.如申請專利範圍第1項之電漿處理裝置,其中具備磁場 控制部,其係可於減壓容器内施加磁場者。 1 5 .如申請專利範圍第1項之電漿處理裝置,其中介電質窗 的材質為氧化紹(Al2〇3)、石英(Si〇2)之任一,或者,利 用該等複合以構成者。 1 6. —種導線加工用電漿蝕刻裝置,其特徵在於包含: 容器,其可保持減壓氣氛; 處理台,其載置位於該容器内之半導體晶圓; 介電質窗,其配置於與該半導體晶圓相對;及 天線元件,其位於該介電質窗之背面,將.特定氣體電 漿化用之電磁波導入該容器内, 589926 其中該天線元件由至少兩個以上的旋轉對稱地配置 之天線元件所構成, 該天線元件之各個一端接地,另一端與高頻電源以同 相位或大致同相位地電氣的連接。 1 7 ·如申請專利範圍第1 6項之導線加工用電漿蝕刻裝置,其 中該介電質窗由石英板所構成。 1 8. —種電漿蝕刻裝置,其特徵在於包含: 容器; 真空抽氣裝置,其係為保持該容器内之減壓氣氛,連 接於該容器; 處理台,其載置位於該容器内之半導體晶圓; 介電質窗,其配置於與該半導體晶圓相對; 天線元件,其位於該介電質窗之背面,將特定氣體電 漿化用之電磁波導入該容器内, 其中該天線元件由至少兩個以上的旋轉對稱地配置 之天線元件所構成, 該天線元件之各個一端接地,另一端與高頻波電源以 同相位或大致同相位地電氣的連接。 1 9.如申請專利範圍第1 8項之電漿蝕刻裝置,其中高頻波電 源之頻率為100 MHz以上3 GHz以下。 20.如申請專利範圍第18項之電漿蝕刻裝置,其中各天線元 件之長度較高頻波電源之波長λ之1 /4為短。 2 1 .如申請專利範圍第1 8項之電漿蝕刻裝置,其中由旋轉對 稱中心至各天線元件之接地端之距離分別大致相等,又589926 pieces are arranged in at least multiple concentric circles. 8. As for the plasma processing device of the first patent application scope, each antenna element is arranged in a spiral shape. 9. For example, the plasma processing device in the scope of patent application, wherein the shape of each antenna element is a part of a circular arc. 10 · The plasma processing device according to item 1 of the patent application scope, wherein the shape of each antenna element is a rod, an ellipse or a polygon. 1 1 · The plasma processing device according to item 1 of the scope of patent application, wherein each antenna element is powered by a high-frequency power source through a hole in a grounded conductive plate. 1 2 · The plasma processing device according to item 1 of the scope of patent application, wherein each antenna element is powered by a south-frequency wave power source through a flat-shaped conductor '. 1 3 · The plasma processing device according to item 1 of the scope of patent application, wherein each antenna element is powered by a south frequency power source via a coaxial line '. 1 4. The plasma processing apparatus according to item 1 of the scope of patent application, which includes a magnetic field control unit that can apply a magnetic field in a decompression container. 15. The plasma processing device according to item 1 of the scope of the patent application, wherein the material of the dielectric window is any of aluminum oxide (Al203) and quartz (SiO2), or the composite is used to constitute By. 1 6. A plasma etching device for wire processing, comprising: a container that can maintain a reduced pressure atmosphere; a processing table on which a semiconductor wafer is placed in the container; and a dielectric window that is disposed on the container. Opposite to the semiconductor wafer; and an antenna element, which is located on the back of the dielectric window, and introduces electromagnetic waves for plasma-specific gas into the container, 589926, wherein the antenna element is symmetrically rotated by at least two or more The antenna element is configured, and one end of the antenna element is grounded, and the other end is electrically connected with the high-frequency power source in the same phase or substantially the same phase. 17 · The plasma etching device for wire processing according to item 16 of the patent application scope, wherein the dielectric window is composed of a quartz plate. 1 8. A plasma etching device, comprising: a container; a vacuum pumping device, which is connected to the container to maintain a reduced-pressure atmosphere in the container; and a processing table, which is placed in the container. A semiconductor wafer; a dielectric window disposed opposite the semiconductor wafer; an antenna element located on the back of the dielectric window and introducing electromagnetic waves for plasma-specific plasma into the container, wherein the antenna element It is composed of at least two antenna elements which are arranged symmetrically in rotation, one end of each of the antenna elements is grounded, and the other end is electrically connected with the high-frequency wave power source in the same phase or substantially the same phase. 19. The plasma etching device according to item 18 of the patent application scope, wherein the frequency of the high-frequency wave power source is 100 MHz to 3 GHz. 20. The plasma etching device according to item 18 of the application, wherein the length of each antenna element is relatively short, and 1/4 of the wavelength λ of the frequency power source is short. 2 1. The plasma etching device according to item 18 of the scope of patent application, wherein the distances from the symmetrical center of rotation to the ground ends of the antenna elements are approximately equal, and 589926 由該中心至各天線元件之供電端之距離分別大致相等。 2 2 .如申請專利範圍第1 8項之電漿蝕刻裝置,其中各天線元 件以至少多重地同心圓狀地配置。 2 3 ·如申請專利範圍第1 8項之電漿蝕刻裝置,其中各天線元 件以螺旋狀配置。 24.如申請專利範圍第1 8項之電漿蝕刻裝置,其中該介電質 窗由石英板所構成。589926 The distance from the center to the power supply end of each antenna element is approximately equal. 2 2. The plasma etching device according to item 18 of the scope of patent application, wherein each antenna element is arranged at least in multiple concentric circles. 2 3 · The plasma etching device according to item 18 of the patent application, wherein each antenna element is arranged in a spiral shape. 24. The plasma etching device according to item 18 of the application, wherein the dielectric window is composed of a quartz plate.
TW091120873A 2002-08-06 2002-09-12 Plasma processing apparatus TW589926B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002228093A JP3854909B2 (en) 2002-08-06 2002-08-06 Plasma processing equipment

Publications (1)

Publication Number Publication Date
TW589926B true TW589926B (en) 2004-06-01

Family

ID=31492238

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091120873A TW589926B (en) 2002-08-06 2002-09-12 Plasma processing apparatus

Country Status (4)

Country Link
US (1) US7604709B2 (en)
JP (1) JP3854909B2 (en)
KR (1) KR20040014113A (en)
TW (1) TW589926B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977244B2 (en) 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US8163191B2 (en) 2005-09-06 2012-04-24 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7511246B2 (en) * 2002-12-12 2009-03-31 Perkinelmer Las Inc. Induction device for generating a plasma
KR100513163B1 (en) * 2003-06-18 2005-09-08 삼성전자주식회사 Icp antenna and plasma generating apparatus using the same
US8293069B2 (en) * 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
US20050199186A1 (en) * 2004-03-15 2005-09-15 Sungkyunkwan University Inductively coupled plasma apparatus using magnetic field
WO2006008889A1 (en) * 2004-07-20 2006-01-26 Sharp Kabushiki Kaisha Plasma processing system
DE102006004581A1 (en) * 2006-02-01 2007-08-09 Patent-Treuhand-Gesellschaft für elektrische Glühlampen mbH Light-module for e.g. interior lighting of aeroplane, has surface mountable semiconductor components emitting radiation, and optical device e.g. diffractive unit, that focuses radiation, which is blended by optical unit of one component
JP2007318248A (en) * 2006-05-23 2007-12-06 Omron Corp Communication antenna and pole with built-in antenna
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US20090000738A1 (en) * 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
US20110094683A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Rf feed structure for plasma processing
US20110094994A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
WO2011061787A1 (en) * 2009-11-17 2011-05-26 日新電機株式会社 Plasma device
KR101226266B1 (en) * 2010-09-13 2013-01-25 (주)세미머티리얼즈 Plasma Reactor FOR TEXTURING OF SOLAR CELL
JP6836976B2 (en) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 Plasma processing equipment

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH04362091A (en) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd Plasma chemical vapor deposition apparatus
JP2761172B2 (en) 1992-08-13 1998-06-04 松下電器産業株式会社 Plasma generator
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
JPH06275397A (en) 1993-03-20 1994-09-30 Tokyo Electron Ltd Plasma generation method and device and plasma treatment device
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US5936352A (en) 1995-11-28 1999-08-10 Nec Corporation Plasma processing apparatus for producing plasma at low electron temperatures
JP2937907B2 (en) 1995-11-28 1999-08-23 日本電気株式会社 Plasma generator
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
KR970064327A (en) * 1996-02-27 1997-09-12 모리시다 요이치 High frequency power applying device, plasma generating device, plasma processing device, high frequency power applying method, plasma generating method and plasma processing method
JP3739137B2 (en) 1996-06-18 2006-01-25 日本電気株式会社 Plasma generator and surface treatment apparatus using the plasma generator
JPH10134996A (en) * 1996-10-31 1998-05-22 Nec Corp Plasma treatment equipment
JPH11135438A (en) 1997-10-28 1999-05-21 Nippon Asm Kk Semiconductor plasma processing apparatus
JP2000111996A (en) 1998-10-02 2000-04-21 Kyocera Corp Display device for camera
JP4598253B2 (en) 2000-09-26 2010-12-15 東京エレクトロン株式会社 Plasma device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8163191B2 (en) 2005-09-06 2012-04-24 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
US7977244B2 (en) 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process

Also Published As

Publication number Publication date
US20040026040A1 (en) 2004-02-12
JP3854909B2 (en) 2006-12-06
US7604709B2 (en) 2009-10-20
JP2004071778A (en) 2004-03-04
KR20040014113A (en) 2004-02-14

Similar Documents

Publication Publication Date Title
TW589926B (en) Plasma processing apparatus
JP5309179B2 (en) Plasma processing apparatus and coupling window configuration for producing uniform process speed
JP4869059B2 (en) Antenna, plasma processing apparatus, and substrate processing method
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
TW564574B (en) Method and apparatus for producing uniform process rates
JPS5816078A (en) Plasma etching device
US20170125261A1 (en) Method of etching transition metal film and substrate processing apparatus
JP2634313B2 (en) Plasma processing method for semiconductor wafer production
JP3254069B2 (en) Plasma equipment
US11302521B2 (en) Processing system and processing method
CN110770880B (en) Plasma processing apparatus
JP3294839B2 (en) Plasma processing method
US11842900B2 (en) Etching method and plasma processing apparatus
JP6313983B2 (en) Plasma processing apparatus and plasma processing method
JP2932946B2 (en) Plasma processing equipment
US10490425B2 (en) Plasma systems and methods of processing using thereof
JP2956487B2 (en) Plasma generator
US12014930B2 (en) Etching method and plasma processing apparatus
JP3047801B2 (en) Plasma processing method and apparatus
JP3205542B2 (en) Plasma equipment
JP3047802B2 (en) Plasma processing equipment
JPH07153741A (en) Plasma treatment system
JPH0982491A (en) Surface treatment device
JPH08236300A (en) Plasma treating method and device
JPH08236299A (en) Plasma treating device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees