TW526557B - Method of etching carbon-containing silicon oxide films - Google Patents

Method of etching carbon-containing silicon oxide films Download PDF

Info

Publication number
TW526557B
TW526557B TW090120153A TW90120153A TW526557B TW 526557 B TW526557 B TW 526557B TW 090120153 A TW090120153 A TW 090120153A TW 90120153 A TW90120153 A TW 90120153A TW 526557 B TW526557 B TW 526557B
Authority
TW
Taiwan
Prior art keywords
carbon
patent application
scope
silicon oxide
item
Prior art date
Application number
TW090120153A
Other languages
English (en)
Inventor
Chang Lin Hsieh
Hui Chen
Jie Yuan
Yan Ye
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW526557B publication Critical patent/TW526557B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

526557 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 發明領磁: 本發明係關於含碳之氧切薄膜之姑刻方法。 發明背景: 低介電常數之介電質是下—代本填触 代牛等體製造用之介電 材料的趨勢。低介電常數之介電I3人+ 狄< d私貝扣的疋介電常數(k)低於 氧化矽(kd.O)的任何材料。低介電常數之介電質有很多 種’包括在有機高分子為主之材料與氧切為主之材料 中,添加氟、碳與氫。 有許多習知技術是關於製造含碳之氧化矽薄膜,例如 Yau等人在美國專利申請號編號第〇9/〇21,788號,it讣等 人在德國專利公告號編號第DE 1 9654737號,係揭露這此 類薄膜之製造方法。特別是美國袁釗由 竹」疋夫國寻利申請號編號第 09/021,788號之專利揭露的是一種利用有機矽甲烷化八物 (例如甲基矽甲烷,CHeiH3)與氧化氣體反應,沉積低介 電常數薄膜的方法。在沉積薄膜時,甲基團會接到氧化石 的結構上。薄膜中的碳可降低薄膜的介電常數。 氧化碎薄膜之電漿蝕刻所使用的電漿來源氣體係八 有氟化碳(CxFy)氣體,例如四氟化碳(Cf4)或二^ 一 〆/、鼠化二硬 (Cj6) °電装來源氣體中的碳與氟’提供氧化發所需之 刻速率。然而對於含碳之氧化矽薄膜而言,辈想 平竭用氟化啖 氣體進行蝕刻有所困難。因為薄膜上的碳會和兩將十 又a和包漿來源氣 體中的碳、氟結合,產生長鏈的碳-氟高分子,> ’儿償在被 蝕刻之半導體結構表面,而妨礙蝕刻製程的進行。而 且長 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) (請先閱讀背面之注意事項再填寫本頁) . n D n n n n n 一OJ* ϋ ϋ n n n n n I l ' 526557 A7 五、發明說明() 鍵的硬-氟高分子也會污染整個蝕刻 4反應室内邵的表面。 先前技術解決上述問題的方法 ^ I括在氟化碳氣體中 加入氧的來源。氧會與含碳之氧仆 人心乳化石夕薄膜上的碳反應,可 遯免過度的高分子沉積也增加薄膜 外喊的蝕刻速率。不過氧也 會攻擊上方的光阻罩幕材料,而光 、 疋限罩幕材料是用來形成 下方特徵(例如接觸介層)蝕刻時的圖 國栗罩幕用。因此雖然 氧的存在改善含碳之氧化硬薄膜的 ,丹朕:的蝕刻速率,但是含碳之 氧化碎薄膜對上方之光阻罩蓋姑抵 ., , p 旱幂材枓,其蝕刻選擇性卻降低 因此需要提供蝕刻含碳之氧化矽薄膜的有效方法,也 就是提供令人滿意之触刻速率,以及含碳之氧化_薄膜對 覆蓋其上之光阻罩幕材料也具有令人滿意的蝕刻選擇 性。 發明目的及概沭: 本發明提供一種電漿蝕刻含碳之氧化矽薄膜的方 法,係以將薄膜暴露在由氨氣(NH3)及氟化碳(CxFy)氣體所 組成之電漿來源氣體產生之電漿中。其中含碳之氧化梦薄 膜一般包括少於約20原子百分比的碳;其碳的含量以介 於約8原子百分比至約20原子百分比之間為代表;較佳 之碳的含量介於約8原子百分比至约13原子百分比之 間。含碳之氧化矽薄膜通常都含有氫。當氫存在時,在整 個薄膜組成中氫的含量通常少於約4 5原子百分比.其$ 的含量以介於約3 0原子百分比至約4 5原子百分比之間為 參紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) (請先閱讀背面之注意事項再填寫本頁) —訂---------線! 經濟部智慧財產局員工消費合作社印製 526557 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 代表;在含碳之氧化矽薄 中,較佳之氫的本量介於 原子百分比至约40原子1 y 。U於約30 υ原予百分比之間。 蚀刻電聚中,活仆翁 古化氣會與含碳之氧化矽 的矽反應。含碳之氧化 土材)中 膜中產生的乳和電漿中的氫, 會與碳反應。本發明之方 ^ ^ _ 万去係梃供一極佳的蝕刻速率,以 及含碳之氧化矽薄縢斟脅义# 人 兮專腠對覆蓋其上之光阻罩 蝕刻選擇性。以下僅妾彻 < 立 < 打权住的 下僅表個人意見非用以限定。在 化矽的蝕刻製程中,士、人‘ ^ 由於南分子層(係由電漿來源氣體中 的氟化碳氣體所產生笋* L ^ 孔把中 T屋生),儿積在光阻罩幕材料之上表面上, 藉以避免光阻罩幕材料的耗損,因而改善了蚀刻選擇性。 同時,電漿來源氣體中的氨氣亦•,清除⑹咖咐,沉積在 j阻罩幕材料表田 '蝕刻表面、以及蝕刻反應室表面上的 7 :子。所以在電漿來源氣體中,必需達到氟化碳氣體與 氨氣之門的適谭平衡,使被蚀刻的基材各種表面上之高分 子副產物的沉積與移除取得平衡。此外,在電裝來源氣體 中加入氧氣,可以增加含碳之氧化矽薄膜的蝕刻速率,但 這也會降低含碳之氧化矽薄膜對光阻罩幕材料之蝕刻選 擇性。 另一方面’電漿來源氣體中碳與氮之原子比一般介於 約1 : 0.3至約i : 3之間;較佳之碳氮原子比(Cub^ : Nitrogen)係介於約1 : 〇.7至約b 2.2之間;而更佳之碳 氮原子比係介於約1 : 1至約1 : 1 8之間。 本發明之方法係包括將含碳之氧化矽薄膜暴露在由 氨氣與氟化碳(CxFy)組成之電漿來源氣體中,其中χ的範 本紙張尺度適^關家標準(CNS)A4祕(21__G x 297公餐了 ---------------.1 -------^ ---------I f^wi (請先閱讀背面V注意事項再填寫本頁)
526557 五、發明說明( 圍介於約1至約6之間’而y的範圍介於約4至约8之間, 以X = 2至4而广4至8之間為代表。尤其發現六氣化二碳 (C2F6)、六氣化四碳(C4f6)、八氟化四碳(Gy、八氟化五 碳(C5F8)可提供極佳之蝕刻速率與蝕刻選擇性。 其電漿來源氣體更包括非反應性稀釋氣體,係選 自於由氬(Argon)、氦(Helium) ' 氙(Xen〇n)、氪 及其前述氣體組合所構成之一族群。 本發明之姓刻含碳之氧化㈣膜的方法,提供每分鐘 至少2.2μιη之蝕刻速率,蝕刻含碳之氧化矽薄膜相對於上 方之光阻罩幕材料,其蝕刻選擇比高達約25比丨。結合上 述之快速蝕刻速率與高蝕刻選擇性,不僅改善蝕刻含碳之 氧化矽薄膜的方法,而且重要的是,在蝕刻完畢後也清潔 了蝕刻反應室的表面。 圖式簡單說明: 本發明的較佳實施例將於往後之說明文字中輔以下 列圖表做更詳細的闡述,其中: 第1圖所繪示為IPS™领刻反應室,用來產生第2圖之數 據;以及 第2圖所緣示為c4 F 8與氨氣供給速率改變時,對於電繁 在蝕刻接觸介層中,輪廓削尖(taper)的影響;按先 後順序依次為蝕刻含碳之氧化矽對光阻罩幕材料 之蚀刻選擇性;光阻罩幕材料之蝕刻速率;以及含 碳之氧化矽薄膜之蝕刻速率。 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) n —1 J ,· Mmmmmmm smmet In 言
線 I 經濟部智慧財產局員工消費合作社印製 526557 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() _號對照說明: 100 蚀刻反應室 102 外線圈 104 内線圈 106 偏壓電力源 108 支撐平台 1 10 位置 1 12 電漿 202 C4F8刻度標籤 204 NH3刻度標籤 210 輪廓削尖子圖 220 蝕刻選擇性子圖 240 光阻罩幕材料之蚀刻速率子圖 260 含碳之氧化矽之蝕刻速率子圖 發明詳細說明: 以下敎述之細節為蝕刻含碳之氧化矽薄膜的方法,係 包括將薄膜暴露在由氨氣及氟化碳所組成之電漿來源氣 體產生之電漿下。 如發明概述所言,除非内容有明確規定,否則用於本 發明說明書與申請專利範圍中之單數形式之”一”、"此,·, 亦包括複數形式。 I .用來貫施本發明之設備 第1圖顯示用來實施本發明之設備的是應用材料公司 (Applied Matedals)IPS™介層蝕刻反應室。此蚀刻反應室 100包括二個電漿源電力,由外線圈1〇2(其頻率調整在 2·0±0·1 MHz)以及内線圈ι〇4(其頻率調整在23±〇1 MHz) 所提供。基材(圖未繪示,但一般為矽晶圓)係固定在支撐 平台108上,其中支撐平台1〇8以陶瓷材料之靜電夾盤為 第9頁 (請先閱讀背面之注意事項再填寫本頁) · n n n n n ft 一 i ! K n n n n an I I · 本紙張尺度適用中國國家標準(CNS)A4 (210 x 297^57 526557 經濟部智慧財產局員工消費合作社印製 A7 — _______ B7 __ 五、發明說明() 代表。由偏壓電力源106(其頻率調整在1 ·7±0·2 MHz)產生 之偏壓電壓施加在支撐平台1 0 8上。電漿源氣體係由位置 1 1 0注入蝕刻反應室1 0 〇中,並朝蝕刻反應室1 0 〇上面的 部分移動,用以產生電漿1 1 2,其中位置1 1 0係位於基材 的下面。以具有分立式電力控制之元件,個別提供電漿源 電力與基材偏壓電力,為本發明之方法的較佳實施例。 雖然上述之元件提供極佳的結果,但能預期本方法仍 可用其他各種習知之元件加以執行,包括但不限於射頻產 生平行板 '電子環繞共振式、高密度反射電子、螺旋波、 電感耦式的電漿(ICP)以及變壓耦式的電漿(TCP)之元件。 這些處理元件之例子,係描述於美國專利號編號第 6,095,084 號、第 6,077,384 號、第 6,074,512 號、第 6,071,372 號、第 0,063,233 號、第 6,054,0 1 3 號、第 6,036,878 號、 第 6,026,762 號、第 6,020,686 號、第 5,976,308 號、以及 第5,900,064號’在本發明中均列為參考資料。 Π ·本發明用來蝕刻含碳之氧化矽薄膜的方法 本發明係關於蝕刻含碳之氧化矽薄膜,此薄膜之組成 以帶有甲基團之氧化矽結構為代表,其中碳的含量少於約 30原子百分比。含碳之氧切薄膜之碳的含量以介於約8 原子百分比至約20原子百分比之間為代表,較佳之碳含 量係介於約8原子百分比至約13原子百分比之間。碳的 含量在含碳之氧化⑦薄膜中非常重要,因為這會影響到薄 膜的一般機械性質與薄膜的導電性。 第10頁 (請先閱讀背面之注意事項再填冩本頁) 訂i 線ΙΦ 526557 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 氣也常出現在含碳之氧化 ^ , 辟朕T —般而言,氫的 含量少於約50原子百分比。* η碳之乳化矽薄膜之氫的含 量以;丨於約30原子百分比至 原于百分比之間為代 表。 本發明之方法係包括將含碳 ^ ^ 叉之乳化矽潯膜,暴露在由 氨乳人鼠化碳(CxFy)組成之電漿來源氣體所產生之·牌 中。一般電衆來源氣體之碳氮原子比介於约03:1至約二水 1之間;較佳碳氮原子比之範圍為介於約〇 5: i至約Ί 1之間;更佳碳氮原子比之範圍為介於約〇 6 : !至約】:] 之間。而上述之氟化碳(CxFy)氣體中,其中χ的範圍介於 約1至約6之間’而y的範圍介於約4至約8之間。氟化 碳氣體一般係選自於由C2F6、C3F6、C4F6' C4F8、與 及其組合所構成之一族群。尤其^匕與可提供極佳 之蝕刻速率與蝕刻選擇性。 電漿來源氣體更包括氧氣,以增加含碳之氧化矽薄膜 的蝕刻速率。然而氧氣在電滎來源氣體之總體積中不超過 約30%,以不少於總體積之2〇%為代表,這是因為氧氣的 存在’於含碳之氧化矽薄膜相對於光阻罩幕材料之蝕刻選 擇性有不利的影響。 其次,電漿來源氣體更包括非反應性稀釋氣體,係選 自於由氬、氦、氙、氪及其組合所構成之一族群。 根據本發明之方法,蝕刻含碳之氧化矽薄膜之代表紫 程條件兹列於下面第1表中’其中電力供應係分別由電韻 源電力與基材之偏壓電力源加以控制。在一些實施例中, 第11頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) “--Ί --------^---------線-- (請先閱讀背面之注意事項再填寫本頁) 526557 A7 B7 五、發明說明() 只有單一電漿源電力時,”内部電力值(貿广為,,〇 w,,。 箏1表.鉍免丨含滅之1化▼薄膜之較佳實施側的,竹 製程變數 較佳之 ^ ^ I,·」口、」 更佳之 程絛件 極佳之 製程條件 製程條件 製程條件 氨氣流速(seem) 50-150 75-125 75-100 氟化碳流速(seem) 10-30 15-25 18-25 氧氣流速(seem) 0-30 0-20 0-10 氬氣流速(seem) 0-1000 0-800 0-500 電漿源電力n 一外部(w) 1 800-2000 800-1600 1〇〇0-1600 電漿源電力i一内部(W) 0-1000 0-600 0-500 基材之偏壓電力註^(〜) 600-1800 600-1500 800-1200 基材之偏壓電壓(-V) 200-1000 200-800 400-700 蚀刻反應室壓力(mTorr) 10-60 20-40 30-40 基材溫度(°C ) -15-+15 -15-+15 -1 5 - + 1 5 註一:’’電漿源電力”指的是在蚀刻反應室中負貴維持電衆 之電力,係提供主要部分之電力以產生活性蝕刻氣 體的種類。 -------------I --------^---------線--AW1 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 5主>一 ·偏壓電力指的是加在基材支撐平台上的電力,於 基材表面上提供負電壓,係用來控制高能量種類之 離子向基材轟擊及其離子方向性。 發展本方法以蝕刻含碳之氧化矽薄膜的過程中,進行 了數個貫驗以得到最佳之電漿源氣體組成與與蝕刻製程 第12頁 本紙張尺度用中國國家標準(CNS)A4規格(210 X 297公f )----- 經濟部智慧財產局員工消費合作社印製 526557 A7 R7 五、發明說明() 條件。這些實驗都在應用材料公司IPS™介層蝕刻反應室 中進行,如第1圖所示。而這些實驗結果則列於以下之第 2表中。IPS™介層蝕刻反應室兼具外部電漿電力源與内部 電漿電力源,在蝕刻基材表面時,可提供非常高的均一 性。 第2表.蝕刻含碳之氣化矽落膜之方法所得出之數據 輪次# 1 2 3 4 5 6 7 8 氨氣(seem) 100 100 100 70 70 70 70 70 C4Fs(sccm) 25 25 25 25 18 25 28 25 氬(seem) —— — 100 —— 一 —· 一 —麵 蝕刻反應室壓力 (mTorr) 60 40 40 40 40 40 40 30 電漿源電力(w)& 1600 1600 1600 1200 1200 1200 1200 1200 偏壓電力(W) 1200 1200 1200 1000 1000 850 1000 1000 基材溫度(°cf= 60 60 60 60 60 60 60 60 含碳之氧化矽蝕刻 速率(μιη/分) 3.1 2.4 2.2 2.6 2.8 2.5 2.6 2.2 含碳之氧化矽對光 阻罩幕材料t之蝕 刻選擇性 4.1 : 1 2.0 : 1 2.9 : 1 16 : 1 6.3 : 1 25 : 1 16 : 1 13 : 1 省虫刻輪廓 漸尖形 條紋形 微弓形 垂直形 垂直形 垂直形 垂直形 弓形 註一 ··電漿源電力為外部電漿源電力與内部電漿源電力之 合併,其中外部電漿源電力與内部電漿源電力的比 例,平均約為2 : 1。 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 At ) ----------Μ--Ί Αν --------訂 *--------ί J. (請先閱讀背面之注意事項再填寫本頁) 526557 經濟部智慧財產局員工消費合作社印製 297 公 t ) A7 B7 五、發明說明() 註二:基材溫度為土 2 (TC。一般而言,基材設在約1 0 °C時, 陰極溫度依據基材的溫度’而基材的溫度約高於5 0 °C時,陰極溫度則依據個別的製程操作條件而定。 註三··蝕刻選擇性係用以指含碳之氧化矽蝕刻速率對光阻 罩幕材料蝕刻速率之比例。 在上述之製程條件下,其中蝕刻反應室壓力約在4 0 mTorr或以下。在蝕刻進行中,蚀刻反應室中的電漿密度 估計介於約5XlOue-/cm3至約5Xl012e-/cm3之間。 含碳之氧化矽薄膜的蝕刻速率範圍每分鐘從2.2 μπι 至 3 . 1 μχη(一般的蚀刻速率可接受值每分鐘至少要 〇 8 μ—。第1輪次顯示最高蝕刻速率每分鐘3.1 μπι。在第i 輪次中’電漿來源氣體中之碳氮原子百分比為1 ·· 1,還有 較高之蚀刻反應室的壓力(6〇 mTorr)、電漿源電力(16〇〇 w)、及偏壓電力(1200 W)。 一般係以具有圖案之光阻層為罩幕,將其下層含碳之 乳化矽薄膜蝕刻出特徵(feature)。,,特徵,,指的是在基材表 面造成立體結構,例如内連線、接觸、介層、溝渠、及其 他結構但不限於此。在第2表中所用之光阻罩幕材料係取 自美國麻薩諸塞州之希普勵公司(Shipley c〇)所製造之 UV-5光阻,其中光阻層的厚度約為8〇〇〇入。 在下層含碳之氧化矽薄膜進行蚀刻時,光阻 =以非常慢的速率損耗,也就是說,含碳之氧化4 = 先F且罩幕材料之_選擇性應該要相當高(以至少 本紙張尺錢 -----------—.1 Aw--------^---------*5^ —^wi (請先閱讀背面之注意事項再填寫本頁) 526557 A7 R7 五、發明說明() 代表)。第6輪次中’電漿來源氣體中之碳氮原子百分比 為1 .4 ·· 1,以及較低之蝕刻反應室的壓力(40 mTorr)、電 漿源電力(1 200 W)。第6輪次中也使用較低之偏壓電力 (850 W)。 極佳的蚀刻輪廓也在第6輪次中達成。"蚀刻輪廓"(或 稱π特徵輪廓”)一般係指經過蚀刻的特徵之剖面輪廓,但不 限於此。本發明許多例子中,其中蝕刻的特徵圖案係指於 基材中蚀刻出溝渠,以溝渠側壁與溝渠底部延伸之水平線 所夾之角度,來描述此蝕刻輪廓^,,垂直輪廓"指的是蝕刻 之溝渠輪廓中,溝渠側壁是與溝渠底部延伸之水平線呈垂 直。通常從溝渠側壁之延長線與溝渠底部之延長線的夹角 約8 8°與約90° (或約90。至約92。,視角度的方向而 足)。”底切輪廓”指的是離溝渠頂部開口越遠,溝渠寬度漸 增之溝渠側壁輪廓。”漸尖輪廓,,指的是離溝渠頂部開口越 遠,溝渠寬度漸減 < 溝渠側壁輪廓。,,弓形輪廓"指的是溝 渠頂部I寬度較小,隨著漸深入基材溝渠寬度而漸增,但 接近溝渠底部時溝渠寬度又漸減之溝渠側壁輪廓。 在第3輪次中將氬氣加入電漿源氣體,使得蝕刻速率 (2.2 μιη/分)與含碳之氧化矽對光阻罩幕材料之蝕刻選擇 性(2·9: Π均下降。另外,第3輪次所得之姓刻輪廊為微 弓形,也忒疋說,特徵表面開口、中間與底部的寬度很相 近,且特徵橫截面的寬度是最大的。 正上就蝕刻速率、蝕刻輪廓、以及氧化矽層對上層 之光阻罩幕材料之蝕刻選擇性而論,第6輪次提供的是極 第15貧 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297*^^----- (請先閱讀背面之沒意事項再填寫本頁) ·____ 訂---------線丨 經濟部智慧財產局員工消費合作社印制农 526557
五、發明說明() 經濟部智慧財產局員工消費合作社印製 佳的結果。 如上述第2表所知(結果’本發明之方法提供極佳的 蚀刻速率’以及含碳之氧切薄膜對覆蓋其上之光阻罩幕 材料之極佳的蚀刻選擇性。 第2圖所繪示為改變電漿源氣體中CUF8對氨氣體之 相對量,此處其他之製程變數固定於常數,如下所列:外 部電衆源電力在2 MHz為刚w;内部電㈣電力在2 MHz為400 W;偏壓電力在i 7則2為i〇〇〇 w;蝕刻反 應室壓力為10 mT〇rr;以及基材溫度在6(rc_i〇(rc的範 圍,極佳於約60 C。氦氣係施加在基材的背面,以協助熱 傳導,其背壓為15 τ〇ΓΓ。靜電夾盤則為水冷式。 第2圖中’ Cd8刻度標籤2〇2顯示的是C4F8之氣流 fe圍,其單位為sccm。而第2圖中,NH3刻度標籤2〇4顯 示的是NH3之氣流範圍。 輪靡削尖子圖2 1 0顯示的是在C4;p8與氨氣流速改變 下’對於姓刻的側壁輪廓上垂直方向之影響。輪廓改變的 程度介於約0°至約4° ,當C4F8增加時輪廓底切的程度 就減少’然而當NH3增加時輪廓底切的程度就增加。 姓刻選擇性子圖220顯示的是在C4F8與NH3氣體速 率改變下,蝕刻選擇性的改變(含碳之氧化矽蝕刻速率對 光阻罩幕材料蝕刻速率之比例)。蝕刻選擇性改變的程度 介於約5·2至約8.7,當C4F8增加時蝕刻選擇性就增加, 然而當NH3增加時蝕刻選擇性就減少。 光阻罩幕材料之蝕刻速率子圖240顯示的是在C4F8 第16頁 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先33讀背面之注意事頊务填寫本買) 瘳 tri 線丨Φ 526557
五、發明說明( 經 濟 部 智 慧 財 產 局 與氨氣流速改變下,光阻罩 罢菫j·丄,, 〜逆手的改轡。, 料之姓刻速率改變的程度,介於每分鐘約、光阻
至母分鐘約4300A,去c F w ^ 土 j3〇° A 印增加時蝕刻速率就 當氨氣增加時蝕刻速率就增加。 / 乂,然而 产含碳之氧切之姓刻速率子圖260顯示的 與氨氣流速改變下,人#、产 C4F8 4M 連h下含碳q切薄膜之^ 父’含碳之氧化⑦薄膜之触刻速率改變的程度=的改 鐘約22,500人至每分鐘. 1於每分 母刀叙 ',、勺27,50〇Λ,當C4f8增加時 。並*明顯改變,然而#氨氣增加時蚀刻速率就、豕 =碳之氧化石夕之餘刻速率子圖26〇所示,不* ^或 氨氣流速改變,對於含碳之氧切薄膜之㈣速 = 都很小。 〜響 簡而言之,在含碳之氧化矽對光阻罩幕材料之蝕刻選 擇性高時,CxFy與氨氣的量要小心平衡,以維持垂直的蝕 刻輪廓。以一系列關於含碳之氧化矽薄膜之碳含量介於〇 到20%的實驗為基礎,並根據本發明之方法進行蝕刻,就 萑足了含碳之氧化矽薄膜中碳的含量越高,蚀刻速率就越 快。 之較佳實施例而已,並非用以限定本發明之申請專利範 圍’凡其b未脫離本發明所揭示之精神下所完成之等效改 變或修飾,均應包括在下述之申請專利範園内。 Γ琦先閱t*背面之运t事項再填寫本頁} --------線! 消 費 合 社 印 製 :^17 頁 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 526557 A8 B8 C8 D8 t、申請專利範圍 1 . 一種蝕刻含碳之氧化矽薄膜的方法,係包括將該含碳之 (請先閱讀背面之注意事項再填寫本頁) 氧化矽薄膜暴露在由氨氣(NH3)及氟化碳(CxFy)所組成 之一電漿來源氣體產生之一電漿中。 2 .如申請專利範圍第.1項所述之方法,其中該含碳之氧化 碎薄膜包括少於約3 0原子百分比的碳。 3 .如申請專利範圍第1項所述之方法,其中該含碳之氧化 碎薄膜更包括少於約5 0原子百分比的氫。 4. 如申請專利範圍第1項所述之方法,其中在該電漿來源 氣體中碳與氮之一原子比少於約3 : 1。 5. 如申請專利範圍第1項所述之方法,其中在該電漿來源 氣體中碳與氮之一原子比介於約0.5 ·· 1至約1.4 : 1。 6. 如申請專利範圍第5項所述之方法,其中在該電漿來源 氣體中碳與氮之一原子比介於約0.6 : 1至約1 : 1。 經濟部智慧財產局員工消費合作社印製 7. 如申請專利範圍第1項所述之方法,其中該氟化碳之X 介於約1至約6之間,以及y介於約4至約8之間。 8. 如申請專利範圍第7項所述之方法,其中該氟化破之X 介於2至5之間,以及y介於6至8之間。 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 526557 A8 B8 C8 ___ D8_____ 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 9 ·如申請專利範圍第8項所述之方法’其中該氟化碳(CxFy) 係選自於由C2F6、C3F6、C4F6、C4F8、C5F8及其組合所 組成之族群中。 1 0.如申請專利範圍第9項所述之方法,其中該氟化碳 (CxFy)係選自於由c2f6與CUF8所組成之族群中。 1 1 ·如申請專利範圍第1項所述之方法,其中該電漿來源氣 體更包括少於約總體積30%之氧氣(〇2)。 1 2.如申請專利範圍第丨項所述之方法,其中該電漿來源氣 體更包括一非反應性稀釋氣體,係選自於由氬(Argon)、 氦(Helium)、氙(xenon)、氪(Krypton)及其組合所構成之 族群中。 1 3 ·如申請專利範圍第1項所述之方法,其中該含碳之氧化 矽薄膜的蝕刻速率每分鐘至少約1 · 5 μιη。 經濟部智慧財產局員工消費合作社印製 1 4 ·如申請專利範圍第1項所述之方法,其中該含碳之氧化 矽薄膜的姓刻速率對光阻罩幕材料的蝕刻速率,其比例 至少約10 : 1。 1 5.如申請專利範圍第1項所述之方法,其中進行蝕刻該含 碳之氧化咬薄膜之方法時,所施加之一基材偏壓電壓係 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 526557 A8 B8 C8 D8 六、申請專利範圍 介於約至約,00 V之 間 經濟部智慧財產局員Η消費合作社印制农 16·如申請專利範圍第1 ^〃 負所述之方法,其中進行蝕刻該含 反1氧化矽薄膜之方 ^ , /2; *時’ 一基材處理區域中之一電漿 猎度係介於約5 x 1〇u 至約 5xl012e7cm3 之間。 I7.如申請專利範圍第 胃所述之方法,其中進行蝕刻該含 & t取*化發薄膜之女 去時,所施加一電漿源電力之一總 和’係介於約8 0 0 W $从 I約2000 W之間。 1 8,一種姓刻含碳之氧 ^ 矽潯膜的方法,係包括將該含碳之 氧匕夕薄膜暴路在由氨氣及氟化碳(CxFy)所組成之一電 κ來源氣也產生之一電漿中,其中在該電漿來源氣體中 碳與氮之-原子比少於约3 : i ;對置於-基材上的該含 碳〈氧化矽薄膜所施加之偏壓係介於约-200 V至約_ I 0 00 V又間;以及使用一外部元件與一内部元件施加一 電聚源電力’且該外部元件與該内部元件係連接於一姓 刻反應室。 I 9.如申請專利範圍第項所述之方法,其中所施加之該 電漿源電力之一總和介於約800 W至約3,000 w之間。 20·如申請專利範圍第1 9項所述之方法,其中該外部元 件所施加之該電漿源電力,對該内部元件所施加之該電 第20肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填冩本頁) #· 訂. -線 526557 A8 B8 C8 D8 六、申請專利範圍 漿源電力,其比例為2 ·· 1或更高。 1>1 —a— It an ·ϋ flu n n 1-1· n ^il I n HI — ·· m 111 11>· m I —II —ai i §MMm i n I— 1 n n (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090120153A 2000-08-29 2001-08-16 Method of etching carbon-containing silicon oxide films TW526557B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/650,975 US6607675B1 (en) 2000-08-29 2000-08-29 Method of etching carbon-containing silicon oxide films

Publications (1)

Publication Number Publication Date
TW526557B true TW526557B (en) 2003-04-01

Family

ID=24611082

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090120153A TW526557B (en) 2000-08-29 2001-08-16 Method of etching carbon-containing silicon oxide films

Country Status (6)

Country Link
US (1) US6607675B1 (zh)
EP (1) EP1232522A2 (zh)
JP (1) JP2004512673A (zh)
KR (1) KR100856005B1 (zh)
TW (1) TW526557B (zh)
WO (1) WO2002019408A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
JP4681215B2 (ja) * 2003-07-31 2011-05-11 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
EP1646083B1 (en) * 2004-10-08 2016-08-31 Imec Alternative dual damascene patterning approach
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
KR100788380B1 (ko) * 2006-09-29 2008-01-02 동부일렉트로닉스 주식회사 반도체 소자 형성 방법
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US7878054B2 (en) * 2007-02-28 2011-02-01 The Boeing Company Barrier coatings for polymeric substrates
US8043981B2 (en) * 2009-04-21 2011-10-25 Applied Materials, Inc. Dual frequency low temperature oxidation of a semiconductor device
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11487058B2 (en) 2020-08-13 2022-11-01 Applied Materials, Inc. Method for manufacturing optical device structures

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
JPH02280355A (ja) 1989-04-20 1990-11-16 Matsushita Electron Corp 半導体装置
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP3453996B2 (ja) 1996-03-19 2003-10-06 ソニー株式会社 酸化シリコン系絶縁膜のプラズマエッチング方法
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6217786B1 (en) 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6350670B1 (en) * 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6368979B1 (en) * 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure

Also Published As

Publication number Publication date
KR100856005B1 (ko) 2008-09-02
KR20020060957A (ko) 2002-07-19
US6607675B1 (en) 2003-08-19
WO2002019408A3 (en) 2002-06-13
JP2004512673A (ja) 2004-04-22
EP1232522A2 (en) 2002-08-21
WO2002019408A2 (en) 2002-03-07

Similar Documents

Publication Publication Date Title
TW526557B (en) Method of etching carbon-containing silicon oxide films
TW558768B (en) Unique process chemistry for etching organic low-k materials
TW552317B (en) Method for etching silicon oxynitride and dielectric antireflection coating
TWI352387B (en) Etch methods to form anisotropic features for high
JP5552394B2 (ja) シリコン含有ハードマスクをパターンエッチングする方法
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
TWI276166B (en) Pattern forming method
TW455950B (en) Method for patterned copper etch for micron and submicron features, using enhanced physical bombardment
TWI281688B (en) Post etch photoresist strip with hydrogen for organosilicate glass low-K etch applications
CN109690735B (zh) 用于高纵横比结构的剥离方法
Kim et al. Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide
TW520405B (en) Methods for etching a trench in a silicon layer
CN109219867A (zh) 蚀刻方法
TWI284370B (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
TW554425B (en) Method of forming a notched silicon-containing gate structure
TW466266B (en) Gas for removing deposit and removal method using same
TW201137936A (en) Ultra low silicon loss high dose implant strip
TW200818306A (en) Etch method in the manufacture of an integrated circuit
JP2007235135A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
TW200408047A (en) Method of etching a trench in a silicon-on-insulator (soi) structure
TW201137088A (en) Dry etching agent and dry etching method using the same
TW497169B (en) NH3 plasma descumming and resist stripping in semiconductor applications
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
JPWO2007094087A1 (ja) ドライエッチング方法、微細構造形成方法、モールド及びその製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees