TW480624B - Method to structure the organic anti-reflection layer - Google Patents

Method to structure the organic anti-reflection layer Download PDF

Info

Publication number
TW480624B
TW480624B TW089115998A TW89115998A TW480624B TW 480624 B TW480624 B TW 480624B TW 089115998 A TW089115998 A TW 089115998A TW 89115998 A TW89115998 A TW 89115998A TW 480624 B TW480624 B TW 480624B
Authority
TW
Taiwan
Prior art keywords
etching
layer
photoresist
arc
seem
Prior art date
Application number
TW089115998A
Other languages
English (en)
Inventor
Gregoire Grandremy
Matthias Uwe Dr Lehr
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Application granted granted Critical
Publication of TW480624B publication Critical patent/TW480624B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick

Landscapes

  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

經濟部智慧財產局員工消費合作社印製 480624 A7 B7_ 1 五、發明說明() 本發明是有關一種方法,借助於DUV微影術實施抗反 射覆蓋層開放蝕刻步驟(ARC Open-Atzschritt),而將 有機抗反射層結構化。其中此在光阻層下的抗反射層作 為中間層而形成,其由一種有機聚合物所構成,並且其 中在以下的步驟中實施對位於抗反射層下金屬層的蝕刻。 半導體結構通常具有多個平面的金屬層,其配備具有 相對應的導軌,其經由垂直的中間聯結而彼此連接,及 /或與半導體結構之活性或摻雜元件而連接。此導軌與 中間連接的製造,是於多個製程步驟中實施,其包括澱 積步驟,結構化步驟與蝕刻步驟。 通常一個雙平面金屬層的製造方法即在於此,首先製 造至半導體結構之各個功能元件之聯結。對於此借助於 微影術製程進行蝕刻步驟,而經由在半導體結構上的氧 化層産生一洞孔,因此此在第一金屬平面中之中間聯結 的位置被確定。此洞孔,其本身垂直經由半導體結構而 延伸,然後在一個例如是CVD或濺鍍方法之澱積製程中, 以一薄的黏貼層(還稱為線性層),例如是氮化鈦,以及 一種金屬例如是鎢,而镇満。因為此澱積製程可以不只 限於洞孔,而且是在半導體結構的整個表面上實施,所 以在表面上多餘的金屬必須經由例如一種所諝的C Μ P製 程(化學機械拋光),或是經由回蝕(etch back)而去除。 緊接著在現有的氣化層上塗佈一例如由鉛構成的金屬層 ,其然後以徼影術形成結構,以便建立所欲的導軌結構 。此實施經由以微影術而塗佈光阻以形成光阻蝕刻遮罩 -3 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----^------------^----訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 480624 A7 _B7__ 五、發明說明() ,因此然後可以經由蝕刻遮罩蝕刻,並且最後剩下導軌。 對此而根據標準而使用微影術方法,其中在光阻層之 下是一個由聚合物所構成的有機中間層,即,一種ARC (抗反射覆蓋層)聚合物所構成而作為抗反射層***,以 便照射光阻時將反射排除,並且因此將抗反射最小化, 並且因此將分解改善。這在此是有關於一種標準的光學 製程其用於具有DDV照射之次一 0.5毫米(mm)之技術。 其中根據微影術步驟以形成光阻蝕刻遮罩,而對於位 於中間層下的金屬層進行蝕刻,導致中間層(ARC聚合物) 的問題。此ARC聚合物層在微影術製程中没有打洞。因此 此用於將導軌結構化的蝕刻的蝕刻製程必須以抗反射層 覆蓋層開放蝕刻步驟(ARC-Open-Etch step)(聚合物蝕 刻)而開始。於是,此第二步驟是金屬蝕刻步驟(正常的 兩個步驟的製程)。 此外,一個良好的抗反射覆蓋層開放蝕刻(ARC-Open -e t c h )必須以不同的預設之物瑱滿。此預設之物的目的 在於實現少的光阻消耗。這是由於對於光阻(光阻蝕刻 遮罩)的厚度之相矛盾的要求,其藉由蝕刻製程與微影術 難以實現。對於微影術需要一儘可能薄之光阻層。並且 對於蝕刻需要一儘可能厚的的光阻層。此外必須保證良 好之結構可測性(即,良好的關鍵尺寸)與剩餘的自由度。 用於此ARC層(抗反射覆蓋層)的蝕刻是例如使用Ν 2, 〇 2或N 2,0 2與C 0。作為特別的缺點在此是所記錄的高 的光阻消耗與斜的光阻邊緣。還有藉由線條寬度下降與 線條終點成為尖角之結構化而産生拙劣的關鍵尺寸(C D : Critical dimension)表現 〇 - 4 _ —_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----------------^----訂---------線 (請先閱讀背面之注意事項再填寫本頁) 480624 A7 B7 五、發明說明( 在蝕刻中是以 CHF3 /CF4 /〇2 /Ar 或 CHF3 /CF4 /〇2 氣 體(氣體流量 80/50/20/16 Seem, P=160mTorr, P= 600瓦 ,B = 2 (] G a u s s ),其關鍵尺寸(C D )表現非常低劣,即, 其線條寬度減少得太多。 本發明現在以此為基礎,其建立一種方法將有機抗反 射層結構化,其中以達成較小之光阻消耗,以及特別陡 峭的光阻側面,並且具有所達成在基本上改善之關鍵尺 寸(CD)表現。 根據本發明藉由CF4 ARC開放製程,而實施聚合物中 間層的蝕刻,其對於光阻有高的選擇性,其中此蝕刻在 蝕刻室中,以具有大約600瓦之RF功率之增強(enhanced) 電漿而進行。 為了提高蝕刻的選擇性,此抗反射覆蓋層(ARC)開放製 程,藉由一 C H F 3之成份,以及一較少的0 2成份而增強。 當抗反射覆蓋層(AR C )開放蝕刻具有所採用之以下製 程參數時,達成最佳的蝕刻選擇性。 請 先 閱 讀 背 面
I
頁 I 訂 C F 4 3 5 4 5 3 F Η 氺 / 2 ο 5 氺 / 經濟部智慧財產局員工消費合作社印製
Γ A u Γ 力 壓 β η u t s 率 功 8 氺 / 扭 耳 托 毫 /{\ Γ Γ ο T m 瓦 量 流 體 氣 表 代 氺 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 480624 A7 B7_ 4 五、發明說明() 根據本發明方法之優點是一小的光阻消耗與一陡蛸的 光阻側面。這使用儘可能較小的光阻厚度,因此微影術 的製程窗戶本身擴大。藉由此陡峭的光阻側面而達成一 個非常良好之關鍵尺寸(Critical dimension)的表現, 因為此線條寬度保持恒定,並且線條之端點保持其形狀。 還有在光阻側面上沒有留下多餘的所謂剩餘的牆壁。 本發明之其他優點可在此看出,其藉由此在蝕刻化學 中的F離子,而可能採用一種自動端點辨識。此端點辨 識避免防止過度蝕刻,並且因此不須要光阻蝕刻。 本發明以下對實施例作說明,在其所屬的圖式中顯示: 圔忒夕簡塱說明 第1圖以概要圖式説明一個以傳統方法所製造的光阻 蝕刻結構。 第2圖以概要圖式說明一個以根據本發明的方法製造 的蝕刻結構。 在第1圖中概要圔式說明一金屬層1,其應首先說明 此等晶圓的最上層。在此金屬層1上塗佈一個由聚合物 所構成的中間層,即,ARC (抗反射覆蓋層)聚合物,作 為抗反射層2。借助於此抗反射層2,在當照射光阻期間 ,其本身將在此抗反射層2之上的反射排除,並且因此 將反射光最小化。以此種方式而使得微影術之解析度改 善,並且保證光阻蝕刻遮罩3之高度的可測量性。因此 可以使用具有DUV照射而用於次0 . 5毫米(mm)技術之標準 光學製程。此種抗反射層2可以由有機或無機材料所構 成,其中此仍待描逑之方法是關於有機聚合物抗反射層 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----------------^----訂---------線 (請先閱讀背面之注意事項再填寫本頁) 480624 A7 B7__ 5 ' 五、發明說明() 之結構化。 (請先閱讀背面之注意事項再填寫本頁) 在第1圖中此時是代表包括光阻蝕刻遮罩3之層的狀 態,因為此抗反射覆蓋層(A R C )之開放製程如同在習知 技術中通常所執行者。此光阻4之部份截面說明顯示在 ARC開放蝕刻之前之光阻之狀態。此明顯可看出,在此 發生很大的光阻消耗,並且達成拙劣之關鍵尺寸表現。 這因此造成,此光阻不僅是在其高度中而且在其寬度中 縮小,因此在ARC 2中的蝕刻溝渠較所提供為大。 若用於A E C層的蝕刻例如是使用N 2 , 0 2或是N 2,0 2 與C 0 ,因此在結果中産生高的光阻消耗與傾斜的光阻 邊線。還有線條之寬度減少,並且線條的終端形成尖角 的結構化。 還有在蝕刻中是具有CHF3 /CF4 /〇2 /Ar或CHF3 /CF4 /〇2 氣體(氣體流量 80/50/20/16 Seem,P(壓力)= 160 mtorr,P(功率)=600 瓦(w),B(磁場)=20 高斯(Gauss)) ,其關鍵尺寸的表現是非常拙劣,即,此所製造成結構 之線條寬度是減少了太多。 經濟部智慧財產局員工消費合作社印製 若與此相反的以根據本發明之配方蝕刻,因此是在光 阻上小的消耗,以及陡峭光阻側面的序列,如由第2圖 而清楚的概要圖式説明。因此其為可能,使用較小的光 阻厚度,因此此微影之製程窗戶擴大。藉由此陡蛸的光 阻側面而達成非常良好之關鍵尺寸之表現,即,蝕刻溝 渠5之測量性,因為線條寬度保持恒定,並且線條終端 保持其形狀。還有在光阻側面上沒有多餘的所謂牆剩下。 為了達成此所描逑的優點,即,最佳的蝕刻選擇性, - 7 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ""
經濟部智慧財產局員工消費合作社印製 480624 A7 B7 6 五、發明說明() 必須此抗反射覆蓋層(A R C )開放蝕刻,具有所採用之以下 之製程參數。 製程參數 數 值 單位 CF 4 35 . • · .4 5 S c c m / ^ CHF 3 17 · • · • 23 S c c m / 〇 2 5 . * • Ί S c c m / ^ A r 80 . • · .12 0 S c c in / ^ 壓力 8 0 . • · .12 0 m T o r r (毫托耳 功率 5 5 0 . • · .650 Watt (瓦) 磁場 0 Gauss (高斯) 氦反面冷 1 4 Tor r (托耳) 卻設備 / *代表氣體流量 符號之説明 1 .....金屬層 2 .....抗反射覆蓋層 3 .....光阻蝕刻遮罩 4 .....在ARC開放蝕刻前之光阻 5 .....在A R C中的蝕刻溝渠 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -n n ϋ n ϋ —ι ϋ m H ϋ > ϋ ϋ ϋ —ρ ^1 I H 一 -口τ I ϋ I ϋ >1·· 1· _ (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 六、申請專利範圍 第89 1 1 5998號「有機抗反射層結構化之方法」專利案 (90年12月修正) Α申請專利範圍 1. 一種將有機抗反射層結構化之方法,其借助於DUV微影 術而實施ARC開放式蝕刻步驟,其中此抗反射(ARC層) 層在光阻之下形成作爲中間層(其由一種有機聚合物所構 成),並且其中在以下的步驟中,對位於此抗反射層下的 金屬層進行蝕刻,其特徵爲:此ARC聚合物中間層的蝕 刻是藉由對光阻具有高選擇性之CF4ARC(抗反射覆蓋層) 開放製程而實施。 2·如申請專利範圍第1項之方法,其中此蝕刻在蝕刻室中 以增強的電漿實施。 3. 如申請專利範圍第2項之方法,其中此蝕刻是以大約6〇〇 瓦(W)之RF功率實施。 4. 如申請專利範圍第1至3項中任一項之方法,其中此 CF4ARC開放製程,藉由CHF3之成份而實施。 5. 如申請專利範圍第1至3項中任一項之方法,其中此 CF4ARC開放製程,藉由一種〇2之成份而實施。 6. 如申請專利範圍第4項之方法,其中此CF4ARC開放製程, 藉由一種02之成份而實施。 7·如申請專利範圍第1至3項中任一項之方法,其中此等製 程參數是: C F 4 3 5....45 S c c m CHF3 17....23 Seem 480624 六、申請專利範圍 〇2 5....7 Seem Ar 80....120 Seem 壓力 80···. 120 毫托耳(mTorr) 功率 550…650 瓦(Watt) 〇 8.如申請專利範圍第5項之方法,其中此等製程參數是: cf4 3 5____4 5 Seem chf3 17....23 Seem 〇2 5____7 Seem Ar 80....120 Seem 壓力 80.··.120 毫托耳(mTorr) 功率 550…650 瓦(Watt) o -2-
TW089115998A 1999-08-11 2000-08-09 Method to structure the organic anti-reflection layer TW480624B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19937995A DE19937995C2 (de) 1999-08-11 1999-08-11 Verfahren zur Strukturierung einer organischen Antireflexionsschicht

Publications (1)

Publication Number Publication Date
TW480624B true TW480624B (en) 2002-03-21

Family

ID=7918017

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089115998A TW480624B (en) 1999-08-11 2000-08-09 Method to structure the organic anti-reflection layer

Country Status (5)

Country Link
US (1) US6559067B2 (zh)
JP (1) JP4101516B2 (zh)
DE (1) DE19937995C2 (zh)
TW (1) TW480624B (zh)
WO (1) WO2001013412A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100626743B1 (ko) 2004-07-29 2006-09-25 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5656128A (en) * 1993-03-26 1997-08-12 Fujitsu Limited Reduction of reflection by amorphous carbon
US5976769A (en) * 1995-07-14 1999-11-02 Texas Instruments Incorporated Intermediate layer lithography
JP2674589B2 (ja) * 1995-11-09 1997-11-12 日本電気株式会社 レジストパターンの形成方法
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5804088A (en) * 1996-07-12 1998-09-08 Texas Instruments Incorporated Intermediate layer lithography
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US5981398A (en) * 1998-04-10 1999-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask method for forming chlorine containing plasma etched layer

Also Published As

Publication number Publication date
US20020160618A1 (en) 2002-10-31
US6559067B2 (en) 2003-05-06
WO2001013412A1 (de) 2001-02-22
JP4101516B2 (ja) 2008-06-18
JP2003507886A (ja) 2003-02-25
DE19937995A1 (de) 2001-03-01
DE19937995C2 (de) 2003-07-03

Similar Documents

Publication Publication Date Title
TWI478232B (zh) Substrate handling method
US6645851B1 (en) Method of forming planarized coatings on contact hole patterns of various duty ratios
JP4953825B2 (ja) 取外し可能ハードマスクを用いたレチクル製造
Moran et al. High resolution, steep profile, resist patterns
TW434827B (en) Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US20080206655A1 (en) Mask blank, method of manufacturing an exposure mask, and method of manufacturing an imprint template
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
KR20150013412A (ko) 포토마스크 블랭크, 포토마스크 및 그의 제조 방법
TW477007B (en) Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US8080473B2 (en) Method for metallizing a pattern in a dielectric film
JP6396611B2 (ja) マスクブランク、位相シフトマスクの製造方法、及び半導体デバイスの製造方法
US6753584B1 (en) Antireflective coating layer
KR101465107B1 (ko) 플라즈마 에칭 방법
JP2008535280A (ja) Arc材料のcd低減のためのエッチングプロセス
US6811959B2 (en) Hardmask/barrier layer for dry etching chrome films and improving post develop resist profiles on photomasks
US5914277A (en) Method for forming metallic wiring pattern
JP2007005523A (ja) 反射型フォトマスクブランク、反射型フォトマスク、ならびにこれを用いたパターン転写方法
TW480624B (en) Method to structure the organic anti-reflection layer
TW515042B (en) Method to produce a conductor-structure for an integrated circuit
JPH0794467A (ja) ドライエッチング方法
KR20070003336A (ko) 반도체 소자의 미세 패턴 형성 방법
US6630397B1 (en) Method to improve surface uniformity of a layer of arc used for the creation of contact plugs
US6897153B2 (en) Etching gas composition for silicon oxide and method of etching silicon oxide using the same
White Planarization phenomena in multilayer resist processing
TW479323B (en) Manufacturing method of dual damascene

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees