TW426949B - Reduction of pad erosion - Google Patents

Reduction of pad erosion Download PDF

Info

Publication number
TW426949B
TW426949B TW087113184A TW87113184A TW426949B TW 426949 B TW426949 B TW 426949B TW 087113184 A TW087113184 A TW 087113184A TW 87113184 A TW87113184 A TW 87113184A TW 426949 B TW426949 B TW 426949B
Authority
TW
Taiwan
Prior art keywords
region
layer
trench
array
substrate
Prior art date
Application number
TW087113184A
Other languages
English (en)
Inventor
Robert Ploessl
Bertrand Flienter
Original Assignee
Siemens Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens Ag filed Critical Siemens Ag
Application granted granted Critical
Publication of TW426949B publication Critical patent/TW426949B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Formation Of Insulating Films (AREA)

Description

4269 4 9 Λ7 Η7 ____. — ι,ι ---------------------- JI '發明説明(^ ) 發明領Μ 本發明一般而言係有關半導體的裝配,特別.是有關減 少襯墊模腐蝕的方法。 相鼬坊銜說明 於積體電路(I c S )或是晶Η的裝配技術中,是利用蝕刻 達矽基Η之内而産生各部位而成。這些部位是用來形成 各種裝置。例如,深溝渠扮瘐著記憶體單元中溝渠電容 的角色。通常,在形成隨機存取記憶體(ram)、積體電路 (ics)或是晶Η的程序中,是在基片的陣列區域中蝕刻出 深溝渠的陣列》然徭由這些溝渠形成溝渠電容。這些溝 渠電容是用來産生記億體單元陣列,而記億體單元陣列 則是由字元線及位元線交錯連接而形成的記億體I c。 111 第la-ld圖顯示的是用以形成溝渠電容陣列的部分程序 。如第1圖所示,習知的襯墊堆¢110是形成於基MlOl 的表面上。此襯墊堆叠包含例如襯墊氧化物112、襯墊氮 化物U4、以及像TE0S之類的襯墊硬式覆罩116等組成的 糸列層。於襯墊堆ft之上澱積光阻層並將之製作成圖案 以便選擇性地於將要形成DTs(深溝渠)的陣列區域內曝露出 襯墊堆疊的面積。然後以反應離子蝕刻(RIE)法蝕刻基片。 1!--·*ιΐ9,-:Γηί 工消f 合作权印 R I E法在陣列區域1 3 5中會比在非陣列場區域1 3 0中以更 高的速宰腐蝕襯墊TE0S層而産生局部地勢圖。也就是説, 場和陣列區域之間在髙度上存在有一痼很大的步階。結 果,至少在形成節點介雷質之後,會於溝渠内填充以具 有高摻雜的多晶矽(P〇iy)150。由於poly是呈等角的 本纸度適川中阐阀家疗卑(CNS) 210 x?v7 ;·>;;.') 4269 4 9 五、發明説明(1 ) (conformal),産生於RIE期間的拓樸圖形(topography) 也會轉移到poly層上。 (請先閱讀背而之注意事項再填寫本育 第1B圖中,是執行化學機械磨光(CHP)法以提供平坦的 表面。CM P法對硬式覆罩層是具有題擇性的,能在不致有 效地去除襯墊TE0S層下去除poly。由此可以看出,CMP法 會於陣列區域内腐蝕poly而造成有低窪處形成於其中。 這種現象是稱為凹化(dishing)。由於存在有極大的步階 ,執行了 CMP之後會在陣列邊緣160上遣留有poly的殘留 物。如第1C圖所示剝除了襯塾TE0S層之後,會有p〇U「 外耳」165突出於DTs内襯墊氮化層之上。因為於前述CHP 步驟期間在邊緣内遣留有的poly殘留物偽局部地勢圖的 結果,各外耳在陣列邊緣上的高度是大於中央或是平台 部分162的高度。例如,邊緣上的高度可以是> 2500埃而 平台部分的高度可以落在0到1500埃的範圍内。必需執 行向上碰觸的CMP以便去除poly外耳。不過如第1D圖所示 ,向上碰觸的CMP會導致陣列區域内的襯墊氪化層發生腐 蝕。此外,襯墊氮化層在陣列區域的邊緣上比在平台部 分腐蝕得更厲害。由於這種腐蝕現象會導致閘極臨限電 壓發生變化並且會增加埋藏式帶環的阻抗,故屬有害的 而鼹重地影繼了産量。 由前述討論可以清楚地看出,本發明想要提供一種用 以蝕刻出DTs的改良式襯墊堆叠。 發明缌狨 本明傜有關積體電路的裝配技術,特別地,本發明提 -4 - 經浥部中夾桴埤杓:只工消资合竹权印來 42694 9 ";
H J 五、發明説明(☆) 供了一種用以形成深溝渠的改良式技術。於一値實施例 中,在執行蝕刻程序以去除硬式覆罩的一部分時是使用 阻檔覆罩以保護陣列區域。場蝕刻的深度大約是等於由 反應離子刻技術在形成深溝渠之後於陣列區域内蝕刻掉 之材料的數量。 圓式簡單説明: 第1A-1D圖、顯示的是形成深溝渠的習知程序β 第2圔、顯示的是溝渠電容式的動態隨機存取記億體 (DRAH)單元。 第3A-3F圍、顯示的是根據本發明一個實施例而形成深 溝渠的程序。 發明的詳細説明 本發明僳有關稹體電路的裝配技術。通常,會在像矽 晶圖之類的半導體基Η上依平行方式處理許多ICs。其他 形式的半導體晶圖也是很有用的。晶圓是在形ICs之後加 以切割,將它們分開成為値別的晶片。然後將晶片封裝並 積體化而成例如消費産品β這類消費産品包含例如偏人 電腦、行動電詁、以及其他電子産品等。 為了討論的目標,本發明是在本文中描述一部分晶Μ 的形成。恃別地,本發明是在本文中描述用於像DR AM單 元之類記憶體單元之溝渠電容的形成。不過,一般而言 本發明是適用於隨機存取記億體(KAMs)、動態RAMs(DRAMs) 、同步 DRAMs(SDRAHs)、靜態 RAMs(SRAMs)之類 ICs 的裝配 。其他的ICs包含像程式化邏輯陣列、特定用途之ICs -5 - 本紙尺度適用中國國客標準(CNS ) ( ) ---------裝------IT------線 (ΐ-Η先間讀背而之注念事項再填'K-本頁) -火楛^^m工消资合作社印鉍 4^6949 Λ. Λ / H7 五、發明説明(4 ) USICs)、以及合併式DRAH -邏輯電路(埋藏式DRAMS}之類 的邏裝置。 較宰窨朐例的說明 參照第2圖,其中顯示了溝渠電容DRAM單元^這種DBAM 單元是說明於例如Nesbit等人於IEDM 93-627中標題為「 0 . 6 a IH 2 2 5 6 H b且具有自動-對準之埋藏式帶環的溝渠電 容 DRAH 單元(A 0.6jfiffl2 256Mb Trench DRAM Cell with S e 1 f - A LI G N E D B u r i e d S t r a p ( B E S T ))」的論文中,以下 在所有目的下將此論文列為本明的參考文獻。如圖所示 ,D R A Μ單元包含形成於基片1 0 1上的溝渠電容1 6 0。通常 ,溝渠内是填充以摻雜有重劑量η -型摻雜物的多晶矽 (P〇ly)161e此poly扮演著電容中稱為「儲备節點」的一 悃電板。埋藏式電板165則於圍繞溝渠靠下遴部分摻雜有 η -型摻雜物。溝渠靠上遴部分是用來減少寄生性漏電現 象的軸環168。節點介電質163將電容的兩锢電板分隔開 。含有η -型摻雜物之埋藏式位汫170則是將DRAM單元的各 埋藏式電板連接到陣列中。埋藏式位阱之上則是P -型位 阱。此P-型位肿扮_的是減少垂直漏電現象的角色。 D R A Η單元也包括電晶體11 0 ^此電晶體包含閘極U 2以 及包括有η -型摻雜物之擴散區域113和ll^U這些擴散區 域稱為源極和汲極。源極和汲極的選定俗取決於電晶體 的操作。從電晶體到電容的連接是經由擴散區域125而達 成的故稱為「節點擴散」。也稱作「字元線」的閘極堆 «通常包括poly層366及氮化層368„另一方式是,可將 -6 — 本紙ifc尺度適用中國因家枕準(CNS ) AWL枯) 請先閱讀背而之注意事項存填寫本w -1° 4 2 69 4 9 ί〇 A' ]Γ 五、發明説明(r) ("汔閱讀背而之"-事項再填艿本"二 —種由包括像钽、鉬、鎢、鈦、鈷之類之金屬矽化 物(TaSix ,MoSix ,WSix ,TiSix ,CoSix )構成的 polycide 層357形成於poly層之上以減少字元線阻抗 於一個筲施例中,Ρ ◦ 1 y c i d e層包括ρ 〇 1 y層之上的矽化 鎢。氮化物墊圈3 63覆蓋於閘極堆疊和基Μ之上而氮化 層3 6 8及氮化物墊圈3 6 9則為後缠的處理扮演箸蝕刻或是 磨光的儲駐層的角色。 提供淺溝渠絶緣層(STI)18G是為了使DRAH單元與其他 單元或裝置隔離開。如圖所示,字元線120是形成於溝渠 之上而與STI隔離開。字元線120是稱為「通過(passing) 字元線」。這種構造則稱作摺曼式位元線結構。 位準間介電層189是形成於各字元線之上。代表位元線 的導電層是形成於位準間介電層之上。於位準間介電層 内提供有位元線接觸開口 18B以便讓源棰U3與位元線190 形成接觸。 將許多這類的單元建構於陣列中。單元的陣列是由各 字元線和各位元線交互連接在一起而構成的。對單元的 存取是藉著啓動單元所對應的各字元線和各位元绵而達 成的。 第3 A-3 Ε圖顯示的是形成深溝渠的程序。參照第3Α圖,其 中提供了形成有溝渠電容的基H3G1。例如此基Η傜一矽 晶圓。其他像砷化鎵、緒、絶绨體上的矽(SOI)、或其他 半導體材料之類構成的半導體基片也是很有用的。例如 ,基Η中可以輕檝地或是高劑量地摻雜一具有預設導電 ^26949 經"I部屮夾標準而只工消资合作社印製 Λ 7 IP五、發明説明(& ) 性的摻雜物而逹成預期的電氣待性。 襯墊堆叠層310是形成於基Η表面上。例如,襯墊堆ft 包含形成於基片表面上的襯墊氣化層312。例如,襯墊氧 化層是由熱氣化程序形成的。襯墊氣化層是足夠厚以減 小應力並提高襯墊蝕刻停駐層舆基Μ之間的黏合性。 襯墊氣化層之上設有襯墊蝕刻停駐層314。襯墊蝕刻停 駐層應該對用以填充溝渠的材料具有充分的選擇性。於 一實施例中襯墊蝕刻停駐層包括四氤化三矽,因為對於 用以填充溝渠的多晶矽而言這種材料具有相當低的蝕刻 速率。通常,多晶矽與蝕刻停駐層之間的蝕刻選澤率是 大約用於磨光時,其選擇比率是大約300:1。例如 ,氮化層是由低壓化學氣相澱積UPCVD)法形成的。其他 用以澱積氮化層的技術也是很有用的。通常,襯墊氮化 層的厚度大約是2 ϋ G n m。 襯塾氮化層之上是形成了硬式蝕刻覆罩層316。硬式蝕 刻覆罩層包括有一種材料是足夠密或足夠硬以承受RIE技 術在形成深溝集期間的離子撞擊。硬式蝕刻覆罩層的厚 度應該足以防止深溝渠蝕刻曝露出氮化層。此外,蝕刻覆 罩應該比襯墊蝕刻停駐層具有更高的潮溼蝕刻速率。通 常,其選擇率是大於大約80。於一實施例中,第一硬式 覆罩層包括像TE0S之類的未摻雜之矽酸鹽玻璃。像硼摻 雜之矽酸鹽玻璃(BSG)或可流動的氧化物之類的硬式覆罩 材料也是很有用的。 根據本發明,覆罩層是澱積於襯墊堆叠上。將覆罩層 -8 ™ 本紙乐尺度適用中國國冢枕準(CNS ) AWt格(2丨0x2叩:Μί ) 誚先間讀背而之注念事項再填."本頁 -裝- '11 泉 ^2694 9 in 經淖部中次標^i0M工消贽合作社印於
五、發明説明( 7 ) ί 1 圖 型 化 而 産 生 阻 措 層 3 2 0 , It t阻檔層會覆蓋住基片中將要 1 1 形 成 深 溝 渠 的 陣 列 區 域 〇 阻 檔 層 扮 演 著 蝕 刻 覆 罩 的 角 色 1 1 以 保 護 陣 列 中 的 襯 墊 堆 叠 免 於 受 到 蝕 刻 〇 於 一 實 施 例 中 ΐ 1 [ y 覆 罩 層 包 括 一 種 阻 抗 材 料 〇 藉 著 使 用 曝 光 源 及 含 有 預 先 Μ ifi 1 1 期 圖 型 的 覆 罩 而 m 擇 性 地 令 阻 抗 材 料 曝 光 以 便 將 阻 抗 材 If 1 之 料 圖 型 化 Ο 妖 後 成 長 阻 抗 層 並 取 決 於 使 用 的 是 正 性 或 曰 疋 意 負 性 的 阻 抗 材 料 而 去 除 已 曝 光 或 是 未 曝 光 部 分 > 結 果 形 事 項 .fl 成 了 阻 檔 覆 罩 3 2 0。 填 本 1 裝 參 照 第 3 Β 圖 , 部 分 地 去 除 了 未 受 到 阻 擋 覆 罩 保 護 區 域 JI 1 I 内 的 覆 罩 層 〇 覆 罩 層 的 去 除 工 作 是 由 RI E技術達成的。 其 1 1 他 蝕 刻 技 術 也 曰 疋 很 有 用 的 0 未 受 到 保 護 的 區 域 代 表 著 基 i | Η 上 的 場 區 域 〇 於 一 實 施 例 中 蝕 刻 的 深 度 實 質 上 是 大 ! 訂 1 約 等 於 構 成 覆 罩 層 在 陣 列 區 域 與 場 區 域 之 間 在 DT蝕 刻 之 後 因 兩 艏 區 域 之 間 的 不 同 腐 蝕 速 率 造 成 高 度 差 異 的 量 〇 1 1 通 常 j 蝕 刻 的 深 度 扫 疋 大 約 8 η 01 〇 當然, 場蝕刻的實際深度 1 i 可 能 會 取 決 於 覆 罩 層 在 陣 列 區 域 與 場 區 域 之 間 腐 蝕 速 率 1 1 的 差 異 而 發 生 變 化 〇 阻 檔 層 是 在 完 成 覆 罩 層 的 部 分 場 蝕 浆 i 刻 之 後 去 除 的 〇 I 1 參 昭 八W 第 3 C [^ST 圖 , 利 用 習 知 的 石 阪 印 刷 (1 i t h 〇 gr a p h i C)技 1 I 術 將 襯 墊 堆 A 圖 型 化 〇 這 種 技 術 包 含 將 光 阻 層 澱 稹 於 襯 ''1 墊 堆 # 之 上 並 利 用 曝 光 源 及 覆 罩 進 行 選 擇 性 的 曝 光 〇 取 1 決 於 使 用 的 是 正 ύ 或 是 負 性 的 阻 抗 材 料 而 於 顯 像 期 間 去 1 i 除 已 曝 光 或 是 未 曝 光 部 分 1 並 於 襯 墊 堆 叠 上 留 下 不 受 保 ! I 護 的 區 域 * 這 未 受 保 -9 護 之 區 域 即 為 溝 渠 即 將 形 成 之 區 1 1 ί 1 1 I 本紙张尺度適用中國1¾家CNS ) MiUM 2丨()Xm公灯) ^26949 Λ7 ΙΓ 五、發明説明(^ ) 域。 執行像R I E之類的蝕刻技術以便在襯塾堆叠中不受阻抗 層保護的區域上産生開口。這些開口會曝露出底下的基 M。然後以RIE技術蝕刻基Μ以産生DTSo RIE技術會腐姓 陣列區域内的襯墊覆罩。由於場區域内的襯墊覆罩受到 部分蝕刻以便於陣列區域内遮蔽覆罩層使不致過度腐蝕 ,實質上在D T蝕刻之後於場區域及陣列區域内的襯墊覆 罩頂(to P )面是呈共面的。 如圖所示,其髙度相當小的覆罩層外耳36Q是在陣列邊 緣上突起於襯墊覆罩之上β這些部位的寬度會取決於場 蝕刻阻擋覆罩的實際形狀。 可供選擇之方式是,形成圍繞於溝渠靠下邊部分的擴 散區域以扮演著埋藏式電板的角色。埋藏式電板的形成 是利用像提供源極並使用摻雜物由此擴散到基>1之内的 各種已知技術逹成的。然後於溝渠内形成電容的節點介 電質。 參照第3D圖,澱積一種p〇ly37fl以填充溝渠。為了確保 為完全地填充溝渠須使poly過多而導致過量的溝渠poly 覆蓋於基片表面上。由於poly是呈等角的,外耳360出現 在覆罩層底下的結果是外耳37 5有出現在poly層的表面上 。由於外耳3 6 0的高度是相當小,故外耳3 7 5的高度也是 相當小。 參照第3E圖,例如以CMP技術磨光過量的polh CHP技 術是對覆罩層選擇性地去除poly並使用覆罩層作為停駐 -1 0 - (請先閱婧背而之注意事項再填寫本頁 裝. 線 ^26949 1Γ 經免部中欠^介而^工消贽合仃讧印狀 五、發明説明 ( ? ) 1 1 層 1 由 於 因 部 分 之 場 蝕 刻 而 避 免 形 成 場 - 陣 列 拓 樸 圔形 1 1 f CM P技術能夠不在陣列邊緣遺留任何殘留的情形下去除 1 1 po ly 〇 Vi 1 1 先 1 於 3 F 圖 中 t 例 如 以 潮 溼 独 刻 技 術 去 除 了 覆 罩 層 〇 潮溼 間 讀 1 蝕 刻 技 術 是 對 氮 化 層 和 P〇 ly 具 有 m 擇 性 〇 潮 m 蝕 刻 技術 北 η 而 1 之 m 致 P0 1 y外 耳 380突起於氮化層表面之上。 由於前逑CMP 注 1 .1 程 序 避 免 遣 留 任 何 殘 留 物 3 於 整 掴 陣 列 區 域 中 P〇 1 y 層的 事 項 I 再 1 1 高 度 實 質 上 都 是 相 等 的 〇 這 有 利 地 排 除 了 向 上 碰 觸 (touch 填 1 裝 本 up)CMP的 m 求 〇 去 除 P 〇 1 y 外 耳 是 用 來 使 溝 渠 内 的 P〇 iy下 頁 ! I 凹 以 形 成 軸 環 的 異 向 性 蝕 刻 達 成 的 〇 因 此 * 如 第 1 D 圖所 1 1 示 避 免 了 對 襯 墊 氣 化 層 的 腐 蝕 r m 致 改 進 了 産 量 〇 ί I 至 此 9 執 行 像 R Ϊ E之類的蝕刻技術以便於溝渠内使下凹 1 訂 而 於 其 内 形 成 介 電 軸 璟 〇 在 形 成 軸 環 之 後 以 習 知 技術 1 裝 配 ΜΑΗ的 的 剩 餘 部 分 7 其 方 式 揭 示 於 N e s b η等 人 IEDM i I 9 3 -6 2 7 中 標 題 為 厂 規 格 為 0 . 6 // B1 2 2 5 6Mb旦 具 有 白 動- [ 1 對 準 之 埋 藏 式 帶 環 的 溝 渠 電 容 DRAH C3D 早 元 (A 0 6 Μ BI 2 2 5 6 1 ί H b T r e n c h DRAM C el 1 w i t h S el f - Μ i g n e d Bu ΐ ϊ e d Strap 線 1 (B ES T) )j 的論文中, 以下在所有目的下將此論文列為本 1 1 發 明 的 參 考 文 獻 〇 11 包 含 了 例 如 填 充 溝 渠 形 成 埋 藏式 1 I 帶 環 定 義 隔 離 區 域 以 形 成 ST Is 、 殺 積 包 括 該 閘 極 堆叠 '1 的 各 種 層 膜 並 將 這 層 膜 圖 型 化 以 形 成 代 表 字 元 線 的閘 1 極 導 體 澱 積 位 準 介 電 層 産 生 接 觸 開 P 並 形 成 位元 1 | 線 〇 1 I 雖 妖 * 本 發 明 特 別 是 參 照 各 種 實 施 例 而 加 以 顯 示 和 説明 1 1 -1 1 - 1 1 1 1 本紙ift尺度通用中國阀家榡卑(CNS ) Λ4ΙΙΜΜ 公《Μ ^26949 Λ' ΙΓ 五、發明説明(α) ,然熟悉習用技術的人應該能在不偏離本發明所附申請 專利範圍之精神及架構下作各種修正。所以本發明的架 構應該不是参照上逑説明而是參照本發明所附申請專利 範圍完整架構及其等效項目下決定的。 參考符號詋明 10 1,301.....基片 1 1 〇.....電晶體 112 .....閘極 113 .....擴散區域(源搔和汲棰) 114 .....襯墊氮化物 116.....襯塾硬式覆罩 125.....擴散區域 130.....非陣列場區域 135.....陣列區域 160.....溝渠電容 16 1.....儲存節點 162 .....平台部分 163 .....節點介電質 165.....埋藏式電板 、.V 部 中 央 局 κ X 消 11' 合 竹 (請先間讀背而之注意事項再填巧本頁) 168.....軸環 170.....埋藏式位阱 17 3.....Ρ -型位阱 180.....淺溝渠絶緣層 186.....位元線接觸開口 —1 2- 42^949 ΑΊ Η 7 五、發明説明) 189.....位準間介電層 19 0.....位元線 310.....襯墊堆叠 3 12.....襯墊氧化層 314.....襯墊蝕刻停駐層 3 16.....硬式蝕刻覆罩層 3 2 0 .....阻擋覆罩 3 5 7 .....polycide 層 3 6 0 , 3 7 5 .....外耳 3 6 6 , 3 7 0 .....多晶矽層 3 6 8 .....m化層 3 6 9 .....氪化物墊圈 3 8 0 .....多晶矽外耳 π 1 . ' I: ; I 、1Ti . ; L . - * - (請先間讀背而之注意事項再填寫本頁) Μ试部中央桴Α^θ工消费合作社印狀 -13- 本紙張尺度適用中國國家掠坪-(CNS ) A4AL枯(210X247^/;;)

Claims (1)

  1. 經濟部中夬標隼局w:工消f合作社印製 ^ ^ 4 9 B8 ^ C8 D8六、申請專利範圍 1. 一種於裝配積體電路的程序中減少過度腐蝕之方法, 其特撤為包括: 提供其上形成有襯墊堆叠的基片,此襯塾堆疊包括 有位於磨光之停駐層之上的硬式覆罩層,此基片則包 含將要形成溝渠電容陣列的k 一區域以及在第一區域 外制的第二區域; 形成阻檔覆章以保護第一區域; 於第二區域内部分蝕刻該硬式覆罩暦,而第一區域 則受到阻檔覆罩的保護; 去除阻擋覆罩; 將襯墊堆叠圖型化以便於第一區域内曝露出基片中 將要形成深溝渠陣列的部分; 蝕刻基片以形成深溝渠,其中蝕刻程序在第一區域 中對硬式覆罩層的腐蝕速率是高於在第二區域中的腐 蝕速率,此蝕刻程序因硬式覆罩層在第二區域中的部 分蝕刻而導致硬式覆罩層實質上在第一區域和第二區 域中都具有平坦的表面; 於溝渠内填充一種材料以形成溝渠電容的儲存節點 ;以及 進行磨光以去除過剩材料而露出硬式覆罩層。 : i 裝 訂·~ 線 * \ I, - * (讀先閲讀背面之注項再填寫本頁) -14- 本紙張尺度適用中國國家榡隼(CNS } A4規格(210X297公釐)
TW087113184A 1997-09-30 1998-08-11 Reduction of pad erosion TW426949B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/940,233 US5907771A (en) 1997-09-30 1997-09-30 Reduction of pad erosion

Publications (1)

Publication Number Publication Date
TW426949B true TW426949B (en) 2001-03-21

Family

ID=25474462

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087113184A TW426949B (en) 1997-09-30 1998-08-11 Reduction of pad erosion

Country Status (7)

Country Link
US (1) US5907771A (zh)
EP (1) EP0905749B1 (zh)
JP (1) JPH11177064A (zh)
KR (1) KR100504262B1 (zh)
CN (1) CN1134838C (zh)
DE (1) DE69809012T2 (zh)
TW (1) TW426949B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6190955B1 (en) * 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
US6440858B1 (en) * 1998-08-24 2002-08-27 International Business Machines Corporation Multi-layer hard mask for deep trench silicon etch
US6734108B1 (en) * 1999-09-27 2004-05-11 Cypress Semiconductor Corporation Semiconductor structure and method of making contacts in a semiconductor structure
US20060261436A1 (en) * 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Electronic device including a trench field isolation region and a process for forming the same
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US7491622B2 (en) * 2006-04-24 2009-02-17 Freescale Semiconductor, Inc. Process of forming an electronic device including a layer formed using an inductively coupled plasma
US20070249127A1 (en) * 2006-04-24 2007-10-25 Freescale Semiconductor, Inc. Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
KR101075490B1 (ko) * 2009-01-30 2011-10-21 주식회사 하이닉스반도체 매립게이트를 구비한 반도체장치 및 그 제조 방법
KR20140145419A (ko) * 2013-06-13 2014-12-23 삼성전자주식회사 반도체 소자 제조 방법
CN111900168A (zh) * 2016-01-25 2020-11-06 中国科学院微电子研究所 存储单元、存储器件及电子设备

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4939104A (en) * 1984-10-31 1990-07-03 Texas Instruments, Incorporated Method for forming a buried lateral contact
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
JPH05110017A (ja) * 1991-10-18 1993-04-30 Hitachi Ltd 半導体装置とその製造方法
JP3439493B2 (ja) * 1992-12-01 2003-08-25 沖電気工業株式会社 半導体記憶装置の製造方法
DE19600423C2 (de) * 1996-01-08 2001-07-05 Siemens Ag Elektrisch programmierbare Speicherzellenanordnung und Verfahren zu deren Herstellung
US5686345A (en) * 1996-01-30 1997-11-11 International Business Machines Corporation Trench mask for forming deep trenches in a semiconductor substrate, and method of using same
US5776808A (en) * 1996-12-26 1998-07-07 Siemens Aktiengesellschaft Pad stack with a poly SI etch stop for TEOS mask removal with RIE

Also Published As

Publication number Publication date
KR19990030229A (ko) 1999-04-26
CN1213171A (zh) 1999-04-07
DE69809012D1 (de) 2002-12-05
US5907771A (en) 1999-05-25
EP0905749B1 (en) 2002-10-30
EP0905749A3 (en) 2000-01-12
JPH11177064A (ja) 1999-07-02
EP0905749A2 (en) 1999-03-31
KR100504262B1 (ko) 2005-09-26
DE69809012T2 (de) 2004-02-26
CN1134838C (zh) 2004-01-14

Similar Documents

Publication Publication Date Title
TW559913B (en) Method of forming self-aligned contact pad in a damascene gate process
TW448564B (en) A trench capacitor with isolation collar and corresponding manufacturing method
US6020091A (en) Hard etch mask
CN107369686A (zh) 半导体存储器元件及其制作方法
TW492187B (en) Trench-capacitor with capacitor-electrodes and its production method
US6010933A (en) Method for making a planarized capacitor-over-bit-line structure for dynamic random access memory (DRAM) devices
TW426949B (en) Reduction of pad erosion
KR100544547B1 (ko) 집적 금속-절연체-금속 커패시터 및 금속 게이트 트랜지스터
TW396504B (en) Reduction of black silicon in semiconductor fabrication
TW439202B (en) Method for forming a self aligned contact in a semiconductor device
TW399293B (en) A random access memory cell and a method of fabricating RAM cell to reduce oxidation stress
TW411567B (en) Reduced pad erosion
TW469635B (en) Fabrication method of semiconductor memory cell transistor
TW444395B (en) Processing method to planarize the crown capacitor device
TW466684B (en) Method for forming deep trench capacitor under shallow trench isolation structure
TW447118B (en) DRAM cell array and the manufacturing method thereof
TW440996B (en) Self aligned buried plate
TW388125B (en) Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas
JPH11163302A (ja) 集積回路を形成する方法
TW418531B (en) Manufacture method of capacitor of DRAM cell
TW432657B (en) Reduction of black silicon in semiconductor fabrication
TW396613B (en) Low resistance bitline structure with low bitline to bitline coupling capacitance and its methods
TWI235424B (en) Method for forming a contact opening
JP3435849B2 (ja) 半導体装置の製造方法
TW552669B (en) Process for etching polysilicon gate stacks with raised shallow trench isolation structures

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees