TW202406021A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202406021A
TW202406021A TW112113740A TW112113740A TW202406021A TW 202406021 A TW202406021 A TW 202406021A TW 112113740 A TW112113740 A TW 112113740A TW 112113740 A TW112113740 A TW 112113740A TW 202406021 A TW202406021 A TW 202406021A
Authority
TW
Taiwan
Prior art keywords
dipole
region
dopant
transistor
layer
Prior art date
Application number
TW112113740A
Other languages
English (en)
Inventor
莊曜滕
林揆倫
賴德洋
李達元
張文
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202406021A publication Critical patent/TW202406021A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本公開提供了具有不同臨界電壓的半導體裝置及其製造方法。在實施例中,透過偶極材料的沉積、擴散和移除來調變各個半導體裝置的臨界電壓,以在不同的電晶體內提供不同的偶極區域。這些不同的偶極區域使得不同的電晶體具有不同的臨界電壓。

Description

半導體裝置及其製造方法
半導體裝置用於各種電子應用(例如,個人電腦、手機、數位相機和其他的電子設備)。半導體裝置的製造通常是透過在半導體基材上依序地沉積絕緣或介電層、導電層和半導體層的材料,並使用微影術對各種材料層進行圖案化以在其上形成電路組件和元件。
半導體工業透過不斷地減小最小特徵尺寸來繼續提高各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的集積密度,這允許將更多元件整合到給定的區域中。然而,隨著最小特徵尺寸的減小,出現了應解決的其他問題。
以下公開提供了用於實現本公開之不同特徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本公開。當然,這些僅是示例,並不旨在進行限制。例如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包含第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含在第一特徵和第二特徵之間形成附加的特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。
更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同轉向。再者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。
現在將針對特定示例描述實施例,此特定示例包含利用無體積偶極層以形成多個電晶體的鰭式場效應電晶體裝置,其中所形成的多個電晶體中的每一個均具有不同的臨界電壓。在部分實施例中,電晶體可以在具有大約290毫伏(mV)電壓的5奈米(nm)或3奈米技術節點中實現。使用如本公開所述的這些實施例,可以僅透過三個分開的圖案化製程便可提供至少八種不同的臨界電壓。然而,實施例不限於本公開提供的示例,並且這些想法可以在廣泛的實施例中實施,例如在環繞式閘極(gate all around)結構內實施的實施例。
現在參考第1圖,其繪示諸如鰭式場效應電晶體(finFET)裝置的半導體裝置100的透視圖。在一個實施例中,半導體裝置100包含基材101和第一溝槽103。基材101可以是矽基材,然而也可使用諸如絕緣體上半導體(semiconductor-on-insulator, SOI)、應變的絕緣體上半導體和絕緣體上矽鍺的其他基材。基材101可以是p型半導體,然而在其他實施例中,它可以是n型半導體。
可以形成第一溝槽103以作為最終形成之第一隔離區域105的初始步驟。可以使用遮罩層(第1圖中未單獨繪示)連同合適的蝕刻製程來形成第一溝槽103。例如,遮罩層可以是透過諸如化學氣相沉積(chemical vapor deposition, CVD)的製程形成之包含氮化矽的硬遮罩,然而亦可使用諸如氧化物、氮氧化物、碳化矽、這些的組合等的其他材料並且亦可使用諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)、低壓化學氣相沉積(low pressure chemical vapor deposition, LPCVD)或甚至是形成氧化矽然後氮化的其他製程。一旦形成之後,便可透過合適的微影製程圖案化遮罩層,以暴露將被移除以形成第一溝槽103之基材101的那些部分。
然而,本領域具普通知識者將理解,上述用於形成遮罩層的製程和材料不是可用於保護基材101的一部分同時暴露基材101的其他部分以形成第一溝槽103的唯一方法。任何合適的製程(例如,圖案化和顯影的光阻)都可以用來暴露基材101之要被移除以形成第一溝槽103的部分。所有這些方法都完全地被包含在本實施例的範圍內。
一旦已經形成並圖案化遮罩層,就在基材101中形成第一溝槽103。可以透過諸如反應離子蝕刻(reactive ion etching, RIE)的合適製程移除暴露的基材101,以在基材101中形成第一溝槽103,然而亦可以使用任何合適的製程。在一個實施例中,所形成的第一溝槽103可以具有離基材101的表面小於約5000埃(Å)(例如,約2500埃)的第一深度。
然而,本領域具普通知識者應理解,上述形成第一溝槽103的製程僅僅是一種可能的製程,並不意味著是唯一的實施例。相反地,可以使用可以形成第一溝槽103之任何合適的製程,並且可以使用包含任何數量的遮罩和移除步驟之任何合適的製程。
除了形成第一溝槽103之外,遮罩和蝕刻製程還從基材101之那些仍未被移除的部分形成鰭片107。為方便起見,在圖示中將鰭片107標示為透過虛線與基材101分離,儘管可能存在或不存在分離的實體指示。如下所述,這些鰭片107可以用於形成多閘極鰭式場效應電晶體的通道區域。雖然第1圖僅繪示從基材101形成的三個鰭片107,然而可以形成任意數量的鰭片107。
所形成的鰭片107可以在基材101的表面處具有介於約5奈米和約80奈米之間(例如,約30奈米)的寬度。另外,鰭片107可以彼此間隔開介於大約10奈米和大約100奈米之間(例如,大約50奈米)的距離。透過以這種方式間隔鰭片107,鰭片107可以各自形成單獨的通道區域,同時仍然足夠接近以共享共用的閘極(將在下文進一步討論)。
一旦已經形成第一溝槽103和鰭片107,便可使用介電材料填充第一溝槽103,並且可在第一溝槽103內使此介電材料凹陷以形成第一隔離區域105。介電材料可以是氧化物材料、高密度電漿(high-density plasma, HDP)氧化物等。在第一溝槽103之可選的清潔和襯裡之後,可以使用化學氣相沉積方法(例如,高深寬比溝填製程)、高密度電漿化學氣相沉積方法或其他如本領域已知之合適的形成方法來形成介電材料。
第一溝槽103可以透過過度地使用介電材料填充第一溝槽103和基材101,然後透過合適的製程(例如,化學機械研磨 (chemical mechanical polishing, CMP)、蝕刻、這些的組合等)移除在第一溝槽103和基材101外部多餘的材料。在一個實施例中,移除製程也移除了位於鰭片107上方之任何的介電材料,因此介電材料的移除將使鰭片107的表面暴露於進一步的處理步驟。
一旦已經使用介電材料填充第一溝槽103,接著便可以使介電材料遠離鰭片107的表面凹陷。可以執行凹陷以暴露至少一部分之與鰭片107的頂面相鄰之鰭片107的側壁。可以使用濕式蝕刻將鰭片107的頂表面浸入蝕刻劑(例如,氟化氫(HF))中使介電材料凹陷,然而亦可使用其他蝕刻劑(例如,氫氣(H 2)),以及其他方法(例如,反應離子蝕刻、使用諸如氨氣/三氟化氮(NH 3/NF 3)蝕刻劑的乾式蝕刻、化學氧化物移除或乾式化學清潔)。介電材料可以凹陷到距離鰭片107的表面約50埃至約500埃之間(例如,約400埃)的距離。此外,此凹陷製程還可以移除位於鰭片107上方之任何剩餘的介電材料,以確保鰭片107被暴露以進行進一步製程。
然而,本領域具普通知識者應理解,上述步驟可能只是用於填充和凹陷介電材料的整個製程流程的一部分。例如,也可以利用襯裡步驟、清潔步驟、退火步驟、間隙填充步驟、這些步驟的組合等來形成第一溝槽103並用介電材料填充第一溝槽103。所有可能的製程步驟皆完全在包含在本實施例的範圍內。
在形成第一隔離區域105之後,可以在每個鰭片107上方形成虛設閘極介電質109、在虛設閘極介電質109上方的虛設閘極111和第一間隔物113。在一個實施例中,虛設閘極介電質109可以透過熱氧化、化學氣相沉積、濺射或本領域中已知用於形成閘極介電質的任何其他方法形成。取決於形成閘極介電質的技術,在鰭片107頂部上之虛設閘極介電質109的厚度可能不同於在鰭片107側壁上之閘極介電質的厚度。
虛設閘極介電質109可以包含諸如二氧化矽或氮氧化矽的材料,其厚度介於大約3埃和大約100埃之間(例如,大約10埃)。虛設閘極介電質109可以由高介電常數(high-k)材料(例如,相對介電常數大於約5)(例如,氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氧氮化鉿(HfON)或氧化鋯(ZrO 2)或其組合)形成,並具有約0.5埃至約100埃(例如,約10埃或更小)的等效氧化物厚度。此外,虛設閘極介電質109也可使用二氧化矽、氮氧化矽和/或高介電常數材料的任何組合。
虛設閘極111可以包含導電或非導電材料並且可以選自於由多晶矽、鎢(W)、鋁(Al)、銅(Cu)、鋁銅(AlCu)、鎢(W)、鈦(Ti)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮化碳鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鈷(Co)、鎳(Ni)、這些的組合等所組成的群組。可以透過化學氣相沉積、濺射沉積或本領域中已知用於沉積導電材料的其他技術來沉積虛設閘極111。虛設閘極111的厚度可以在約5埃至約200埃的範圍內。虛設閘極111的頂表面可以具有非平坦的頂表面,並且可以在虛設閘極111的圖案化或閘極蝕刻之前被平坦化。此時可以將離子植入或不植入虛設閘極111。例如,可以透過離子佈植技術植入離子。
一旦形成,可以圖案化虛設閘極介電質109和虛設閘極111以在鰭片107上方形成一系列的堆疊115。堆疊115限定了多個通道區域,這些通道區域位於虛設閘極介電層109下方的鰭片107的每一側上。可以使用如本領域已知的沉積和微影技術在虛設閘極111上沉積和圖案化閘極遮罩(在第1圖中未單獨繪示)來形成堆疊115。閘極遮罩可以包含常用的遮罩和犧牲材料(例如,(但不限於)氧化矽、氮氧化矽、碳氮氧化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)和/或氮化矽),並且可以沉積到大約5埃到約200埃的厚度。可以使用乾式蝕刻製程來蝕刻虛設閘極111和虛設閘極介電質109以形成圖案化的堆疊115。
一旦已將堆疊115圖案化,便可形成第一間隔物113。第一間隔物113可以形成在堆疊115的相對側上。第一間隔物113通常是透過在先前形成的結構上毯覆式沉積間隔物層(第1圖中未單獨繪示)來形成。間隔層可以包含氮化矽(SiN)、氮氧化物、碳化矽(SiC)、氮氧化矽(SiON)、碳氮氧化矽(SiOCN)、碳氧化矽(SiOC)、氧化物等,並且可以透過用於形成這些層的方法形成,例如,化學氣相沉積、電漿增強化學氣相沉積、濺射和本領域已知的其他方法。間隔層可以包含具有不同蝕刻特性的不同材料或與第一隔離區域105內的介電材料相同的材料。然後可以對第一間隔物113進行圖案化(例如,透過一次或多次蝕刻)以從結構的水平表面移除間隔層,以形成第一間隔物113。
在一個實施例中,可以形成厚度介於大約5埃和大約500埃之間的第一間隔物113。此外,一旦形成了第一間隔物113,與一個堆疊115相鄰的第一間隔物113可以和與另一個堆疊115相鄰的第一間隔物113隔開介於大約5奈米和大約200奈米之間(例如,大約20奈米)的距離。然而,可以使用任何合適的厚度和距離。
第2圖繪示了從那些不受堆疊115和第一間隔物113保護的區域移除鰭片107,以及源極/汲極區域201的再生長。可以透過使用堆疊115和第一間隔物113作為硬遮罩的反應離子蝕刻或透過任何其他合適的移除製程來執行從那些不受堆疊115和第一間隔物113保護的區域移除鰭片107。可以繼續移除製程直到鰭片107與第一隔離區域105的表面平齊(如圖所示)或低於第一隔離區域105的表面。
一旦鰭片107的這些部分已被移除,便放置硬遮罩(未單獨繪示)並使其圖案化以覆蓋虛設閘極111以防止生長,並且源極/汲極區域201可以再生長以接觸每個鰭片107。在一個實施例中,源極/汲極區域201可以再生長,並且在部分實施例中,源極/汲極區域201可以再生長以形成應力源(stressor),此應力源將向位於堆疊115下面的鰭片107的通道區域施加應力。在鰭片107包含矽並且鰭式場效應電晶體是p型裝置的實施例中,源極/汲極區域201可以透過諸如具有矽的材料或諸如具有與通道區域不同的晶格常數的矽鍺的材料的選擇性磊晶製程再生長。磊晶生長製程可以使用諸如矽烷、二氯矽烷、鍺烷等的前驅物,並且可以持續約5分鐘至約120分鐘(例如,約30分鐘)。
在部分實施例中,所形成的源極/汲極區域201具有介於大約5埃和大約1000埃之間的厚度,並具有在第一隔離區域105上方介於大約10埃和大約500埃之間(例如,大約200埃)的高度。在此實施例中,所形成的源極/汲極區域201具有在第一隔離區域105的上表面上方介於大約5奈米和大約250奈米之間(例如,大約100奈米)的高度。然而,可以使用任何合適的高度。
一旦已經形成源極/汲極區域201,便可透過佈植適當的摻雜劑將摻雜劑佈植到源極/汲極區域201以互補鰭片107中的摻雜劑。例如,可以佈植p型摻雜劑(例如,硼、鎵、銦等)以形成P型金屬氧化物半導體場效應電晶體(PMOS)裝置。再者,可以佈植n型摻雜劑(例如,磷、砷、銻等)以形成n型金屬氧化物半導體場效應電晶體(NMOS)裝置。可以使用堆疊115和第一間隔物113作為遮罩來佈植這些摻雜劑。應當理解,本領域具普通知識者將理解許多其他製程、步驟等均可用於佈植摻雜劑。例如,本領域具普通知識者將理解,可以使用間隔物和襯墊的各種組合來執行多個佈植,以形成具有適合特定目的之特定形狀或特性的源極/汲極區域。這些製程中的任何一種都可以用於佈植摻雜劑,並且以上的描述並不意味著將本實施例限制於上述步驟。
另外,此時可以移除在源極/汲極區域201的形成期間覆蓋虛設閘極111的硬遮罩。在一個實施例中,可以使用諸如對硬遮罩的材料有選擇性的濕式或乾式蝕刻製程來移除硬遮罩。然而,可以使用任何合適的移除製程。在部分實施例中,可以保留硬遮罩並在之後的替換閘極製程期間移除硬遮罩。
第2圖還繪示了在堆疊115和源極/汲極區域201上方形成層間介電(inter-layer dielectric, ILD)層203(在第2圖中以虛線繪示以便更清楚地繪示下面的結構)。層間介電層203可以包含諸如硼磷矽玻璃(boron phosphorous silicate glass, BPSG)的材料,然而亦可以使用任何合適的介電質。層間介電層203可以使用諸如電漿增強化學氣相沉積的製程形成,但是也可以替代地使用諸如低壓化學氣相沉積的其他製程來形成。可以形成厚度介於大約100和大約3,000埃之間的層間介電層203。一旦已形成層間介電層203,便可使用諸如平坦化製程(例如,化學機械研磨製程)使層間介電層203與第一間隔物113平坦化,然而亦可以使用任何合適的製程。
第3圖繪示第2圖沿線3-3'的剖面圖,以便更清楚地繪示移除和替換虛設閘極111和虛設閘極介電質109的材料並用多個層作為第一閘極堆疊1402(未在第3圖中繪示,但可參照第14A圖的圖示和描述)。此外,在第3圖中,第一閘極堆疊1402被繪示為在基材101的第一區域302內,亦繪示了基材101的第二區域304(用於第二閘極堆疊1404),基材101的第三區域306 (用於第三閘極堆疊1406)、基材101的第四區域308(用於第四閘極堆疊1408)、基材101的第五區域310(用於第五閘極堆疊1410)、基材101的第六區域312(用於第六閘極堆疊1412)、基材101的第七區域314(用於第七閘極堆疊1414)和基材101的第八區域316(用於第八閘極堆疊1416)。在一個實施例中,可用於第一電晶體1401(例如,第一N型金屬氧化物半導體鰭式場效應電晶體(NMOS finFET))的第一閘極堆疊1402具有第一臨界電壓Vt1,而可用於第二電晶體1403(例如,第二N型金屬氧化物半導體鰭式場效應電晶體)的第二閘極堆疊1404具有不同於第一臨界電壓Vt1的第二臨界電壓Vt2,可用於第三電晶體1405 (例如,第二N型金屬氧化物半導體鰭式場效應電晶體)的第三閘極堆疊1406具有不同於第一臨界電壓Vt1和第二臨界電壓Vt2的第三臨界電壓Vt3,可用於第四電晶體1407的第四閘極堆疊1408具有第四臨界電壓Vt4,可用於第五電晶體1409的第五閘極堆疊1410具有第五臨界電壓Vt5,可用於第六閘極堆疊1412的第六電晶體1411具有第六臨界電壓Vt6,可用於第七閘極堆疊1414的第七電晶體1413具有第七臨界電壓Vt7,並且可用於第八電晶體1415的第八閘極堆疊1416具有第八臨界電壓Vt8。然而,可以使用任何合適的裝置。
在一個實施例中,可以使用諸如一種或多種濕式或乾式蝕刻製程移除虛設閘極111和虛設閘極介電質109,此濕式或乾式蝕刻製程利用對虛設閘極111和虛設閘極介電質109的材料具有選擇性的蝕刻劑。然而,可以使用任何合適的一個或多個移除製程。
一旦已經移除了虛設閘極111和虛設閘極介電質109,形成第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406、第四閘極堆疊1408、第五閘極堆疊1410、第六閘極堆疊1412、第七閘極堆疊1414和第八閘極堆疊1416的製程可以透過沉積一系列的層開始。在一個實施例中,此系列的層可以包含可選的介面層(在第3圖中未單獨繪示出)、第一介電層303和第一摻雜劑層305。
可以在形成第一介電層303之前形成可選的介面層。在一個實施例中,介面層可以是透過諸如原位蒸汽產生(in situ steam generation, ISSG)的製程形成之諸如二氧化矽的材料。在另一個實施例中,介面層可以是高介電質材料(例如,氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鉭(Ta 2O 5)、這些的組合等),其厚度在大約5 埃至大約20埃之間(例如,約10埃)。然而,可以使用任何合適的材料或製程形成。
一旦形成介面層,可以在介面層上方形成第一介電層303。在一個實施例中,第一介電層303是透過諸如原子層沉積、化學氣相沉積等的製程沉積的高介電常數材料(例如,氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鉭(Ta 2O 5)、這些的組合等)。可以將第一介電層303沉積到大約5埃至大約20埃之間的厚度,然而,亦可以使用任何合適的材料和厚度。如果第一介電層303的厚度太小,則裝置會出現閘極漏電流的問題,而如果厚度太大,則第一介電層303會干擾後續材料的沉積。
第一摻雜劑層305形成在第一介電層303上方,並將作為使第一偶極摻雜劑503 (未在第3圖中單獨繪示,但將在下面的第5圖進一步繪示和討論)植入第一介電層303的來源。在一個實施例中,在電晶體的第一介電層303內使用第一偶極摻雜劑503以在第一介電層303內產生偶極場,從而在不需要功函數調整層的情況下修改臨界電壓。因此,在部分實施例中,第一偶極摻雜劑503可以是金屬(例如,鑭、鋁、鎂、鍶、釔、具有小於鉿的電負性的元素、這些的組合等)。在其他實施例中,第一偶極摻雜劑503可以包含p型摻雜劑材料(例如,鈦、鋁、鎵、銦、鈮、鋅、具有大於鉿的電負性的元素、這些的組合等)。
在第一偶極摻雜劑503是金屬的實施例中,第一摻雜劑層305可以是所需偶極摻雜劑的氧化物。例如,在第一偶極摻雜劑503是鑭的實施例中,第一摻雜劑層305可以是諸如氧化鑭的氧化物。類似地,在第一偶極摻雜劑503是鋁的實施例中,第一摻雜劑層305可以是諸如氧化鋁的氧化物。然而,可以使用任何合適的材料。
可以使用諸如原子層沉積、化學氣相沉積、物理氣相沉積、這些的組合等的沉積製程來沉積第一摻雜劑層305。另外,可使第一摻雜劑層305沉積到任何合適的厚度,並且可以使用不同的厚度(透過使用不同次數的原子層沉積循環來實現)來實現不同的臨界電壓。
第4圖繪示圖案化第一摻雜劑層305,以從第一區域302、第二區域304、第三區域306和第四區域308移除第一摻雜劑層305。在一個實施例中,可以使用諸如微影遮罩和蝕刻製程來執行第一摻雜劑層305的圖案化,其中可以沉積、成像和顯影光阻以產生覆蓋第五區域310、第六區域312、第七區域314和第八區域316的遮罩。一旦放置好遮罩,便可以執行一個或多個蝕刻製程(例如,一個或多個濕式或乾式蝕刻),以從第一區域302、第二區域304、第三區域306和第四區域308移除第一摻雜劑層305。然而,可以使用任何合適的製程。
第5A圖繪示第一退火製程(由標記為501的彎曲箭頭表示),此第一退火製程用於將第一偶極摻雜劑503從第一摻雜劑層305植入到位在第五區域310、第六區域312、第七區域314和第八區域316(但不進入第一區域302、第二區域304、第三區域306或第四區域308,因為第一摻雜劑層305已從這些區域中移除)上方的第一介電層303中。在一個實施例中,第一退火製程501可以是在諸如爐管(furnace)中的惰性環境中加熱基材101和上覆結構的熱退火。可以在足以實現所需臨界電壓的溫度下執行第一退火製程,其中使用不同的溫度來實現不同的臨界電壓。在特定實施例中,溫度可以在約500°C至約950°C之間。如果第一退火製程501的溫度超過950°C,則整體熱預算(thermal budget)可能會影響接合(junction)並導致製程整合的其他問題。此外,如果溫度低於約500°C,則無法形成偶極,也無法達到所需的多個臨界電壓。
第5B圖繪示第5A圖中的虛線框500的近視圖,並且繪示第一偶極摻雜劑503 (在第5B圖中由標記為503的「X」表示)從第一摻雜劑層305擴散到第一介電層303中以形成第一偶極區域505。隨著第一偶極摻雜劑503擴散到第一介電層303中,第一偶極摻雜劑503形成第一偶極區域505,其中第一偶極摻雜劑503的濃度梯度到達第一介電層303中至第一距離D 1。然而,可以是任何合適的距離。
然而,雖然第一偶極區域505形成在第五區域310、第六區域312、第七區域314和第八區域316內,但第一偶極區域505沒有形成在所有的區域上。特別地,因為第一摻雜劑層305已經從第一區域302、第二區域304、第三區域306和第四區域308中移除,所以在這些區域上不存在第一摻雜劑層305,並且沒有形成第一偶極區域505。
第6A圖至第6B圖繪示在形成第一偶極區域505之後移除第一摻雜劑層305,其中第6B圖繪示與第5B圖的虛線框500類似的視圖。在一個實施例中,可以使用一個或多個蝕刻製程(例如,一個或多個濕式或乾式蝕刻)來移除第一摻雜劑層305。然而,可以使用任何合適的移除方法。
第7A圖至第7B圖繪示在第一區域302、第二區域304、第三區域306、第四區域308、第五區域310、第六區域312、第七區域314和第八區域316中的每一個上沉積具有第二偶極摻雜劑(在第7B圖中由標記為703的「+」表示)的第二摻雜劑層701,其中第7B圖繪示與第5B圖的虛線框500類似的視圖。在一個實施例中,第二偶極摻雜劑703可以與第一偶極摻雜劑503相同、相似或不同,並且如果與第一偶極摻雜劑503相似或不同,則可以選擇獨立於或與第一偶極摻雜劑503一起運作以調變所需的臨界電壓。
在一個實施例中,第二摻雜劑層701可以是與第一摻雜劑層305(請參考以上關於第3圖之描述)類似的材料(例如,是所需偶極摻雜劑的氧化物(例如,氧化鑭或氧化鋁))。在特定實施例中,第二摻雜劑層701可以是與第一摻雜劑層305相同或不同的材料。例如,在第一摻雜劑層305是氧化鑭的實施例中,第二摻雜劑層701也可以是氧化鑭,或者可能是不同的材料(例如,氧化鋁)。然而,可以使用任何合適的材料。
另外,可以將第二摻雜劑層701沉積到與第一摻雜劑層305相同或不同的第二厚度。作為另外的示例,第一厚度可以小於第二厚度,或第一厚度可以大於第二厚度。然而,可以使用任何合適的厚度。
第8A圖至第8B圖繪示第二摻雜劑層701的圖案化和第二退火製程(由標記為801的彎曲箭頭表示)。在一個實施例中,使用諸如遮罩和蝕刻製程對第二摻雜劑層701進行圖案化,以便從第一區域302、第二區域304、第五區域310或第六區域312移除第二摻雜劑層701,並在第三區域306、第四區域308、第七區域314和第八區域316上留下第二摻雜劑層701。
一旦已經沉積和圖案化第二摻雜劑層701(並且已經移除任何遮罩),則使用第二退火製程801將第二偶極摻雜劑703從第二摻雜劑層701植入到在第三區域306、第四區域308、第七區域314和第八區域316上方的第一介電層303中(但不植入第一區域302、第二區域304、第五區域310或第六區域312,因為已從這些區域中移除第二摻雜劑層701)。
在一個實施例中,第二退火製程801可以類似於第一退火製程501,並且可以是在諸如爐管中的惰性環境中加熱基材101和上覆結構的熱退火。第二退火製程801可以在大約500°C至大約950°C之間的溫度下執行。如果第二退火製程801的溫度超過950°C,則整體熱預算可能會影響接合並導致製程整合問題。此外,如果溫度低於約500°C,則無法形成偶極,也無法達到所需的多個臨界電壓。
第8B圖繪示第8A圖中的虛線框500的近視圖,並且繪示第二偶極摻雜劑703從第二摻雜劑層701擴散到第一介電層303中以形成第二偶極區域803(在第三區域306和第四區域308中)和第三偶極區域805(在第七區域314和第八區域316中)。在此實施例中,第二偶極區域803包含僅第二偶極摻雜劑703的偶極摻雜劑,而第三偶極區域805包含第一偶極摻雜劑503和第二偶極摻雜劑703兩者的偶極摻雜劑。
隨著第二偶極摻雜劑703擴散到第一介電層303中並形成第二偶極區域803,所形成的第三偶極區域805具有第二偶極摻雜劑703的濃度梯度到達第一介電層303中至第二距離D 2。然而,可以是任何合適的距離。
此外,雖然第二偶極區域803已經形成在第三區域306和第四區域308內,並且第三偶極區域805已經形成在第七區域314和第八區域316內,但第二偶極區域803和第三偶極區域805並沒有形成在所有的區域上。特別地,由於已經從第一區域302、第二區域304、第五區域310和第六區域312移除了第二摻雜劑層701,因此這些區域不受影響。因此,此時在製程中,第一區域302和第二區域304內的第一介電層303保持沒有偶極摻雜劑,並且第五區域310和第六區域內的第一偶極區域505保持不變,僅存在第一偶極摻雜劑503。
第9A圖至第9B圖繪示在第一區域302、第二區域304、第三區域306、第四區域308、第五區域310、第六區域312、第七區域314和第八區域316的每一個中沉積具有第三偶極摻雜劑903的第三摻雜劑層901,而第9B圖繪示出與第5B圖類似之虛線框500的視圖。在一個實施例中,第三偶極摻雜劑903可以與第一偶極摻雜劑503和/或第二偶極摻雜劑703相似、相同或不同,並且可以被選擇為獨立於或與第一偶極摻雜劑503和第二偶極摻雜劑703一起運作,以調變期望的臨界電壓。
在一個實施例中,第三摻雜劑層901可以是與第一摻雜劑層305(請參考以上關於第3圖之描述)類似的材料,例如,是包含諸如氧化鑭或氧化鋁的偶極摻雜劑的材料。在特定實施例中,第三摻雜劑層901可以是與第一摻雜劑層305和/或第二摻雜劑層701相同或不同的材料。例如,在第一摻雜劑層305和/或第二摻雜劑層701是氧化鑭的實施例中,第三摻雜劑層901也可以是氧化鑭,或者也可以是氧化鋁等不同的材料。然而,可以使用任何合適的材料。
另外,第三摻雜劑層901可以沉積到與第一摻雜劑層305相同或不同的第三厚度。例如,第三厚度可以小於第一厚度和/或第二厚度,或者第三厚度可以大於第一厚度和/或第二厚度。然而,可以使用任何合適的厚度。
第10A圖至第10B圖繪示圖案化第三摻雜劑層901,以從第一區域302、第三區域306、第五區域310和第七區域314中移除第三摻雜劑層901。在一個實施例中,可以使用例如微影遮罩和蝕刻製程對第三摻雜劑層901進行圖案化,然而亦可以使用任何合適的圖案化製程。因此,一旦第三摻雜劑層901被圖案化,第三摻雜劑層901保留在第二區域304、第四區域308、第六區域312和第八區域316上方。
第11A圖至第11B圖繪示第三退火製程(由標記為1101的彎曲箭頭表示),其用於將第三偶極摻雜劑903從第三摻雜劑層901植入到第二區域304、第四區域308、第六區域312和第八區域316(但不植入到第一區域302、第三區域306、第五區域310和第七區域314)上方的第一介電層303中。在一個實施例中,第三退火製程1101可以類似於第一退火製程501,並且可以是在諸如爐管中的惰性環境中加熱基材101和上覆結構的熱退火。第三退火製程1101可以在大約500°C至大約950°C之間的溫度下執行。如果第三退火製程1101的溫度超過950°C,則整體熱預算可能會影響接合並導致製程整合問題。此外,如果溫度低於約500°C,則無法形成偶極,也無法達到所需的多個臨界電壓。
第11B圖繪示第11A圖中的虛線框500的近視圖,並且繪示第三偶極摻雜劑903從第三摻雜劑層901擴散到第一介電層303以形成第四偶極區域1103(在第二區域304中)、第五偶極區域1105(在第四區域308中)、第六偶極區域1107(在第六區域312中)和第七偶極區域1109(在第八區域316中)。在此實施例中,第四偶極區域1103僅包含第三偶極摻雜劑903的偶極摻雜劑,而第五偶極區域1105包含第三偶極摻雜劑903和第二偶極摻雜劑703兩者的偶極摻雜劑。另外,第六偶極區域1107包含第三偶極摻雜劑903和第一偶極摻雜劑503兩者的偶極摻雜劑,而第七偶極區域1109包含第一偶極摻雜劑503、第二偶極摻雜劑703和第三偶極摻雜劑903所有的偶極摻雜劑。
隨著第三偶極摻雜劑903擴散到第一介電層303中並形成第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109,便形成了第三偶極摻雜劑903的濃度梯度。在一個實施例中,此濃度梯度到達第一介電層303中至第三距離D 3。然而,可以是任何合適的距離。
然而,雖然第四偶極區域1103已經形成在第二區域304內,第五偶極區域1105已經形成在第四區域308內,第六偶極區域1107已經形成在第六區域312內,並且第七偶極區域1109已經形成在第八區域316中,然而新的偶極區域並沒有形成在整個區域上。特別地,由於已經從第一區域302、第三區域306、第五區域310和第七區域314中移除了第三摻雜劑層901,所以這些區域不受影響。因此,此時在製程中,第一區域302內的第一介電層303保持不含偶極摻雜劑,而第二偶極區域803(在第三區域306內)、第一偶極區域505(在第五區域310內),以及第三偶極區域805(在第七區域314內)沒有進一步植入新的摻雜劑。
第12A圖至第12B圖繪示從結構上方移除第三摻雜劑層901。在一個實施例中,可以使用一種或多種蝕刻製程(例如,濕式蝕刻製程或乾式蝕刻製程)來移除第三摻雜劑層901。然而,亦可以使用任何合適的移除製程。
進一步看第12B圖,可以看出透過沉積、圖案化、退火和移除三個偶極摻雜劑層,可以在第一介電層303內形成八個不同的偶極區域。特別地,第一區域302可以沒有偶極區域,第二區域304可以包含第四偶極區域1103(僅具有第三偶極摻雜劑903),第三區域306具有第二偶極區域803(僅具有第二偶極摻雜劑703),第四區域308具有第五偶極區域1105(具有第二偶極摻雜劑703和第三偶極摻雜劑903中的每一個),第五區域310具有第一偶極區域505(僅具有第一偶極摻雜劑503),第六區域312具有第六偶極區域1107(具有第一偶極摻雜劑503和第三偶極摻雜劑903兩者),第七區域314具有第三偶極區域805(具有第一偶極摻雜劑503和第二偶極摻雜劑703),並且第八區域316具有第七偶極區域1109(具有所有的第一偶極摻雜劑503、第二偶極摻雜劑703和第三偶極摻雜劑903)。
第13圖繪示在第一介電層303上沉積膠層1301和填充材料1303。在一個實施例中,膠層1301的形成可以幫助將上面的填充材料1303與下面的第一介電質層303黏合在一起並提供用於形成填充材料1303的成核層。在一個實施例中,膠層1301可以是諸如氮化鈦之類的材料並且可以使用諸如原子層沉積的類似製程形成至介於大約10埃和大約100埃之間的厚度。然而,可以使用任何合適的材料和製程。
一旦已經形成膠層1301,便沉積填充材料1303以填充使用膠層1301後之開口的剩餘部分。然而,透過如上所述形成不同的偶極區域,可以從製造過程中減少甚至消除通常用於修改臨界電壓之不同的調整層(例如,p型金屬功函數層、n型金屬功函數層等),同時仍然能夠在每個區域中實現不同的臨界電壓。
在一個實施例中,填充材料1303可以是諸如鎢(W)、鋁(Al)、銅(Cu)、鋁銅(AlCu)、鎢(W)、鈦(Ti)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮化碳鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鈷(Co)、鎳(Ni)、這些等的組合,並且可以使用諸如電鍍、化學氣相沉積、原子層沉積、物理氣相沉積、這些的組合等的沉積製程來形成。另外,可將填充材料1303沉積至介於大約1000埃和大約2000埃之間(例如,大約1500埃)的厚度。然而,亦可以使用任何合適的材料。
第14A圖進一步繪示,在沉積填充材料1303以填充和過度填充開口之後,將位於第一區域302、第二區域304、第三區域306、第四區域308、第五區域310、第六區域312、第七區域314和第八區域316的每個開口內的材料平坦化,以形成第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406、第四閘極堆疊1408 、第五閘極堆疊1410、第六閘極堆疊1412、第七閘極堆疊1414和第八閘極堆疊1416。在一個實施例中,可以使用諸如化學機械研磨製程將材料與第一間隔物113一起平坦化,然而亦可以使用任何合適的製程(例如,研磨或蝕刻)。
在已經形成並平坦化第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406和第四閘極堆疊1408的材料之後,可以使第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406和第四閘極堆疊1408的材料凹陷並用覆蓋層1418覆蓋。在一個實施例中,可使用諸如濕式或乾式蝕刻製程,使第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406和第四閘極堆疊1408的材料凹陷,此蝕刻製程利用對第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406和第四閘極堆疊1408的材料具有選擇性的蝕刻劑。在一個實施例中,第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406和第四閘極堆疊1408的材料可以凹陷約5奈米至約150奈米之間的距離。然而,亦可以使用任何合適的過程和距離。
一旦已經凹陷第一閘極堆疊1402、第二閘極堆疊1404、第三閘極堆疊1406、第四閘極堆疊1408、第五閘極堆疊1410、第六閘極堆疊1412、第七閘極堆疊1414和第八閘極堆疊1416的材料,便可沉積覆蓋層1418並使其與第一間隔物113平坦化。在一個實施例中,覆蓋層1418是諸如氮化矽(SiN)、氮氧化矽(SiON)、碳氮氧化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)、這些的組合的材料,使用諸如原子層沉積、化學氣相沉積、濺射等的沉積製程沉積。可以使覆蓋層1418沉積到介於大約5埃和大約200埃之間的厚度,然後使用諸如化學機械研磨的平坦化製程進行平坦化,使得覆蓋層1418與第一間隔物113齊平。
雖然上面已經描述了特定實施例以形成具有特定材料的各種偶極區域,其中這些特定材料已經以特定厚度沉積並在特定溫度和時間退火,但所提供的示例旨在說明性並且不旨在將實施例限制在這些精確的組合。相反地,可以使用任何合適的材料、厚度、退火溫度和退火時間的組合,並且所有這些組合完全旨在包含在實施例的範圍內。
例如,在另一個特定實施例中,第一摻雜劑層305、第二摻雜劑層701和第三摻雜劑層901都可以由相似的材料形成並且沉積到相似的厚度。然而,為了調變臨界電壓,第一退火製程501、第二退火製程801和第三退火製程1101的退火溫度可以彼此不同。
在另一個實施例中,第一摻雜劑層305、第二摻雜劑層701和第三摻雜劑層901可以各自沉積有相同或不同的材料,但是所沉積的每一個摻雜劑層可彼此具有不同的厚度。此外,在此實施例中,第一退火製程501、第二退火製程801和第三退火製程1101可以在相同的溫度下進行。
在另一實施例中,第一摻雜劑層305、第二摻雜劑層701和第三摻雜劑層901均可以使用不同的材料形成。此外,在本實施例中,第一退火製程501、第二退火製程801和第三退火製程1101可以在相同的溫度下進行。
透過形成如上所述的無體積偶極區域,使得不同區域在不同介電層中具有不同的偶極場,可以形成具有不同臨界電壓的不同電晶體。此外,這可以在不沉積附加層(例如,功函數調整層)的情況下完成,其中這些附加層會留在最終產品中以調變臨界電壓。如果在隨後的製造步驟中不存在這些附加層,則可以避免在裝置按比例縮小時會出現的間隙填充一致性的問題。
為了幫助說明這些益處,第14B圖繪示了可以在不同電晶體中實現不同的調變的一個實施例。在此實施例中,不同區域中的每一個均可以將臨界電壓調變為與在不存在偶極摻雜劑的情況下將實現的臨界電壓不同的量(臨界電壓Vt1表示為存在於第一區域302內的臨界電壓)。從此圖中的實際調變與目標調變之間的微小差異可以看出,可以使用本文描述的實施例來實現期望的臨界電壓調變。
第15圖繪示另一個實施例,其中各種偶極區域(例如,第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109)形成在介面層1501內,而不是形成在第一介電層303中。在此實施例中,可先形成介面層1501再形成各種偶極區域。
介面層1501可以在形成第一介電層303(請參考以上關於第3圖的描述)之前形成。在一個實施例中,介面層1501可以是透過諸如原位蒸汽產生的製程形成之諸如二氧化矽的材料。因此,介面層1501選擇性地形成在鰭片107上方並且不沿著第一間隔物113的側壁延伸。在另一個實施例中,介面層可以是高介電常數材料(例如,氧化鉿(HfO 2)、氧化鉿矽(HfSiO)、氧氮化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鉭(Ta 2O 5)、這些的組合等),並將其沉積至介於大約5埃和大約20埃之間(例如,約10埃)的厚度。因此,在此實施例中,介面層1501可以沿著鰭片107以及沿著第一間隔物113的側壁延伸。然而,可以使用任何合適的材料或製程形成。
第16圖繪示第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109的形成(第一區域302中的介面層1501保持沒有偶極摻雜劑)。因此,形成了可能包含或可能不包含偶極摻雜劑的八個單獨且不同的區域,以便分別地調變各個電晶體。然而,在此實施例中,在介面層1501內而不是在第一介電層303內(如上所述)形成第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109。
在此實施例中,第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109可以是如上文關於第5圖至第11圖所描述的那樣形成。例如,第一摻雜劑層305可以被沉積、退火和移除;第二摻雜劑層701可以被沉積、退火和移除;第三摻雜劑層901可以被沉積、退火和移除。然而,亦可以使用任何合適的方法和材料。
第17圖繪示,一旦已經形成第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109,將第一介電層303沉積於在介面層1501內的第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109上。在一個實施例中,第一介電層301可以使用與上面關於第3圖描述之類似的材料和製程來形成。
任選地,如果需要,可以在第一介電層303內形成額外的偶極區域。在此實施例中,也可使用上述用於形成第一偶極區域505、第二偶極區域803、第三偶極區域805、第四偶極區域1103、第五偶極區域1105、第六偶極區域1107和第七偶極區域1109的步驟,在第一介電層303內形成額外的偶極區域。
第17圖另外繪示,一旦已經形成第一介電層303,便在第一介電層303上方形成膠層1301、填充材料1303和覆蓋層1418。在一個實施例中,可以如上面關於第13圖至第14圖所描述的那樣來製造膠層1301 、填充材料1303和覆蓋層1418。然而,亦可以使用任何合適的方法和材料。
所公開的鰭式場效應電晶體的實施例還可應用於奈米結構裝置(例如,奈米結構(例如,奈米片、奈米線、環繞式閘極等)場效應電晶體)。在奈米結構場效應電晶體的實施例中,鰭片被奈米結構所取代,奈米結構透過圖案化通道層和犧牲層的交替層的堆疊而形成。虛設閘極堆疊和源極/汲極區域的形成方式類似於上述實施例的方式。在移除虛設閘極堆疊之後,可以部分或完全地移除通道區域中的犧牲層。替換閘極結構的形成方式與上述實施例類似,替換閘極結構可以部分或完全地填充移除犧牲層後留下的開口,並且替換閘極結構可以部分或完全地圍繞奈米結構場效應電晶體裝置之通道區域中的通道層。可以用與上述實施例類似的方式形成層間介電質以及與替代閘極結構和源極/汲極區域的接觸。可以如美國專利申請公開號 2016/0365414 中公開的那樣形成奈米結構裝置,此專利申請透過引用整體併入本公開中。
透過利用本公開描述的實施例,可以透過使用偶極摻雜劑將不同的電晶體調變為具有不同的臨界電壓。在特定的實施例中,可以透過沉積、退火和移除三層來實現八種不同的臨界電壓。此外,透過使用偶極摻雜劑調變臨界電壓,可以避免使用單獨的功函數層。隨著裝置進一步按比例縮小,這種避免使用單獨的功函數層允許在後續製程中更好地填充間隙,從而減少缺陷並整體改進製造過程。
在一個實施例中,一種製造半導體裝置的方法包含:在第一半導體鰭片上方形成第一介電層;在第二半導體鰭片上方形成第二介電層;在第一介電層內形成第一偶極區域,此第一偶極區域包含第一偶極摻雜劑和第一厚度;以及在第二介電層內形成第二偶極區域,第二偶極區域包含第二偶極摻雜劑和第二厚度,第二偶極摻雜劑和第二厚度中的其中一者分別不同於第一偶極摻雜劑和第一厚度的對應一者。在一個實施例中,第一偶極摻雜劑包含鑭。在一個實施例中,第二偶極摻雜劑包含鋁。在一個實施例中,第二厚度不同於第一厚度。在一個實施例中,形成第一偶極區域還包含在第一溫度下執行的第一退火,並且其中形成第二偶極區域還包含在不同於第一溫度的第二溫度下執行的第二退火。在一個實施例中,此方法還包含在第一介電層上方形成閘極介電層。在一個實施例中,第二偶極區域還包含第一偶極摻雜劑。
在另一個實施例中,一種製造半導體裝置的方法包含:在多個半導體鰭片上方沉積介面層;依序地沉積、退火和移除多個偶極層,其中依序地沉積、退火和移除中的每一個在介面層內形成或修飾偶極區域;在多個半導體鰭片上方的介面層上方形成閘極介電層;以及在閘極介電層上方形成多個閘極以形成多個電晶體,多個電晶體中的每一個具有不同的臨界電壓。在一個實施例中,多個電晶體是八個電晶體。在一個實施例中,依序地沉積多個偶極層是將多個偶極層中的每一個用相同的材料沉積到相同的厚度,並且其中每一個依序地退火是在不同的溫度下執行。在一個實施例中,依序地沉積多個偶極層是將多個偶極層中的每一個沉積到不同的厚度,並且其中每一個依序地退火是在相同的溫度下執行。在一個實施例中,依序地沉積多個偶極層是用不同的材料沉積多個偶極層中的每一個,並且其中每一個依序地退火是在相同的溫度下執行。在一個實施例中,沉積介面層是將介面層沉積為與多個半導體鰭片直接接觸。在一個實施例中,多個偶極層包含至少兩個不同的摻雜劑層。
在又一個實施例中,一種半導體裝置包含:第一電晶體,其包含透過第一介面層與第一半導體鰭片分離的第一閘極,第一介面層包含第一偶極區域,第一電晶體具有第一臨界電壓;第二電晶體包含透過第二介面層與第二半導體鰭片分離的第二閘極,第二介面層包含第二偶極區域,第二電晶體具有第二臨界電壓;第三電晶體包含透過第三介面層與第三半導體鰭片分離的第三閘極,第三介面層包含第三偶極區域,第三電晶體具有第三臨界電壓;第四電晶體包含透過第四介面層與第四半導體鰭片分離的第四閘極,第四介面層包含第四偶極區域,第四電晶體具有第四臨界電壓;第五電晶體包含透過第五介面層與第五半導體鰭片分離的第五閘極,第五介面層包含第五偶極區域,第五電晶體具有第五臨界電壓;第六電晶體包含透過第六介面層與第六半導體鰭片分離的第六閘極,第六介面層包含第六偶極區域,第六電晶體具有第六臨界電壓;第七電晶體包含透過第七介面層與第七半導體鰭片分離的第七閘極,第七介面層包含第七偶極區域,第七電晶體具有第七臨界電壓,其中第一電晶體、第二電晶體、第三電晶體、第四電晶體、第五電晶體、第六電晶體和第七電晶體中的每一個具有不同的臨界電壓。在一個實施例中,第一偶極區域包含第一偶極摻雜劑,並且其中第二偶極區域包含不同於第一偶極摻雜劑的第二偶極摻雜劑。在一個實施例中,第三偶極區域包含第一偶極摻雜劑和第二偶極摻雜劑。在一個實施例中,第四偶極區域包含第一偶極摻雜劑、第二偶極摻雜劑和不同於第一偶極摻雜劑和第二偶極摻雜劑的第三偶極摻雜劑。在一個實施例中,第五偶極區域包含第一偶極摻雜劑,但不包含第二偶極摻雜劑和第三偶極摻雜劑。在一個實施例中,第六偶極區域包含第二偶極摻雜劑,但不包含第一偶極摻雜劑和第三偶極摻雜劑。
以上概述了幾個實施例的特徵,以便本領域具普通知識者可以更好地理解本公開的各個方面。本領域具普通知識者應當理解,他們可以容易地使用本公開作為設計或修改用於執行相同目的和/或實現本公開介紹的實施例之相同益處的其他過程和結構的基礎。本領域具普通知識者也應該理解,這樣的等同結構並不脫離本公開的精神和範圍,並且可以在不脫離本公開的精神和範圍的情況下對本公開進行各種改動、替換和變更。
100:半導體裝置 101:基材 103:第一溝槽 105:第一隔離區域 107:鰭片 109:虛設閘極介電質 111:虛設閘極 113:第一間隔物 115:堆疊 201:源極/汲極區域 203:層間介電層 301:第一介電層 302:第一區域 303:第一介電層 304:第二區域 305:第一摻雜劑層 306:第三區域 308:第四區域 310:第五區域 312:第六區域 314:第七區域 316:第八區域 500:虛線框 501:第一退火製程 503:第一偶極摻雜劑 505:第一偶極區域 701:第二摻雜劑層 703:第二偶極摻雜劑 801:第二退火製程 803:第二偶極區域 805:第三偶極區域 901:第三摻雜劑層 903:第三偶極摻雜劑 1101:第三退火製程 1103:第四偶極區域 1105:第五偶極區域 1107:第六偶極區域 1109:第七偶極區域 1301:膠層 1303:填充材料 1401:第一電晶體 1402:第一閘極堆疊 1403:第二電晶體 1404:第二閘極堆疊 1405:第三電晶體 1406:第三閘極堆疊 1407:第四電晶體 1408:第四閘極堆疊 1409:第五電晶體 1410:第五閘極堆疊 1411:第六電晶體 1412:第六閘極堆疊 1413:第七電晶體 1414:第七閘極堆疊 1415:第八電晶體 1416:第八閘極堆疊 1418:覆蓋層 1501:介面層 3-3':線 D 1:第一距離 D 2:第二距離 D 3:第三距離 Vt1:第一臨界電壓 Vt2:第二臨界電壓 Vt3:第三臨界電壓 Vt4:第四臨界電壓 Vt5:第五臨界電壓 Vt6:第六臨界電壓 Vt7:第七臨界電壓 Vt8:第八臨界電壓
當與附圖一起閱讀時,從以下的詳細描述可以最好地理解本公開的各個方面。應理解,根據行業的標準慣例,各種特徵並未按比例繪製。事實上,為了討論的清晰,可以任意地增加或減少各種特徵的尺寸。 第1圖繪示根據部分實施例之半導體鰭片的形成的透視圖。 圖2繪示根據部分實施例之源極/汲極區域的形成。 第3圖繪示根據部分實施例之第一摻雜劑層的沉積。 第4圖繪示根據部分實施例之第一摻雜劑層的圖案化。 第5A圖至第5B圖繪示根據部分實施例之第一退火製程。 第6A圖至第6B圖繪示根據部分實施例之第一摻雜劑層的移除。 第7A圖至第7B圖繪示根據部分實施例之第二摻雜劑層的沉積。 第8A圖至第8B圖繪示根據部分實施例之第二退火製程。 第9A圖至第9B圖繪示根據部分實施例之第三摻雜劑層的沉積。 第10A圖至第10B圖繪示根據部分實施例之第三摻雜劑層的圖案化。 第11A圖至第11B圖繪示根據部分實施例之第三退火製程。 第12A圖至第12B圖繪示根據部分實施例之第三摻雜劑層的移除。 第13圖繪示根據部分實施例之填充材料的沉積。 第14A圖至第14B圖繪示根據部分實施例之電晶體的形成。 第15圖繪示根據部分實施例之介面層的沉積。 第16圖繪示根據部分實施例之在介面層內形成偶極區域。 第17圖繪示根據部分實施例之在介面層內形成具有偶極區域的電晶體。
國內寄存資訊(請依寄存機構、日期、號碼依序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼依序註記) 無
100:半導體裝置
113:第一間隔物
302:第一區域
303:第一介電層
304:第二區域
306:第三區域
308:第四區域
310:第五區域
312:第六區域
314:第七區域
316:第八區域
500:虛線框
1401:第一電晶體
1402:第一閘極堆疊
1403:第二電晶體
1404:第二閘極堆疊
1405:第三電晶體
1406:第三閘極堆疊
1407:第四電晶體
1408:第四閘極堆疊
1409:第五電晶體
1410:第五閘極堆疊
1411:第六電晶體
1412:第六閘極堆疊
1413:第七電晶體
1414:第七閘極堆疊
1415:第八電晶體
1416:第八閘極堆疊
1418:覆蓋層

Claims (20)

  1. 一種製造半導體裝置的方法,包含: 形成一第一介電層於一第一半導體鰭片上; 形成一第二介電層於一第二半導體鰭片上; 形成一第一偶極區域在該第一介電層內,該第一偶極區域包含一第一偶極摻雜劑和一第一厚度;以及 形成一第二偶極區域在該第二介電層內,該第二偶極區域包含一第二偶極摻雜劑和一第二厚度,該第二偶極摻雜劑和該第二厚度中的其中一者分別不同於該第一偶極摻雜劑和該第一厚度的對應一者。
  2. 根據請求項1所述的方法,其中該第一偶極摻雜劑包含鑭。
  3. 根據請求項2所述的方法,其中該第二偶極摻雜劑包含鋁。
  4. 根據請求項1所述的方法,其中該第二厚度不同於該第一厚度。
  5. 根據請求項1所述的方法,其中形成該第一偶極區域更包含在一第一溫度下進行的一第一退火,且形成該第二偶極區域更包含在不同於該第一溫度的一第二溫度下進行的一第二退火。
  6. 根據請求項1所述的方法,更包含在該第一介電層上方形成一閘極介電層。
  7. 根據請求項1所述的方法,其中該第二偶極區域更包含該第一偶極摻雜劑。
  8. 一種製造半導體裝置的方法,包含: 沉積一介面層於複數個半導體鰭片上; 依序地沉積、退火和移除複數個偶極層,其中依序地沉積、退火和移除中的每一個在該介面層內形成或修飾一偶極區域; 形成一閘極介電層在該些半導體鰭片上的該介面層上;以及 形成複數個閘極在該閘極介電層上以形成複數個電晶體,該些電晶體中的每一個具有不同的一臨界電壓。
  9. 根據請求項8所述的方法,其中該些電晶體是八個電晶體。
  10. 根據請求項8所述的方法,其中依序地沉積該些偶極層是用相同的一材料將該些偶極層中的每一個沉積到相同的一厚度,並且其中依序地退火中的每一個是在不同的溫度下執行。
  11. 根據請求項8所述的方法,其中依序地沉積該些偶極層是將該些偶極層中的每一個沉積到不同的一厚度,並且其中依序地退火中的每一個是在相同的一溫度下執行。
  12. 根據請求項8所述的方法,其中依序地沉積該些偶極層是用不同的一材料沉積該些偶極層中的每一個,並且其中依序地退火中的每一個在相同的一溫度下執行。
  13. 根據請求項8所述的方法,其中沉積該介面層是將該介面層沉積為與該些半導體鰭片直接接觸。
  14. 根據請求項8所述的方法,其中該些偶極層包含至少兩種不同的摻雜劑層。
  15. 一種半導體裝置,包含: 一第一電晶體,包含透過一第一介面層與一第一半導體鰭片分離的一第一閘極,該第一介面層包含一第一偶極區域,該第一電晶體具有一第一臨界電壓; 一第二電晶體,包含透過一第二介面層與一第二半導體鰭片分離的一第二閘極,該第二介面層包含一第二偶極區域,該第二電晶體具有一第二臨界電壓; 一第三電晶體,包含透過一第三介面層與一第三半導體鰭片分離的一第三閘極,該第三介面層包含一第三偶極區域,該第三電晶體具有一第三臨界電壓; 一第四電晶體,包含透過一第四介面層與一第四半導體鰭片分離的一第四閘極,該第四介面層包含一第四偶極區域,該第四電晶體具有一第四臨界電壓; 一第五電晶體,包含透過一第五介面層與一第五半導體鰭片分離的一第五閘極,該第五介面層包含一第五偶極區域,該第五電晶體具有一第五臨界電壓; 一第六電晶體,包含透過一第六介面層與一第六半導體鰭片分離的一第六閘極,該第六介面層包含一第六偶極區域,該第六電晶體具有一第六臨界電壓;以及 一第七電晶體,包含透過一第七介面層與一第七半導體鰭片分離的一第七閘極,該第七介面層包含一第七偶極區域,該第七電晶體具有一第七臨界電壓,其中該第一電晶體、該第二電晶體、該第三電晶體、該第四電晶體、該第五電晶體、該第六電晶體和該第七電晶體中的每一個具有不同的一臨界電壓。
  16. 根據請求項15所述的半導體裝置,其中該第一偶極區域包含一第一偶極摻雜劑,並且其中該第二偶極區域包含不同於該第一偶極摻雜劑的一第二偶極摻雜劑。
  17. 根據請求項16所述的半導體裝置,其中該第三偶極區域包含該第一偶極摻雜劑和該第二偶極摻雜劑兩者。
  18. 根據請求項17所述的半導體裝置,其中該第四偶極區域包含該第一偶極摻雜劑、該第二偶極摻雜劑以及不同於該第一偶極摻雜劑和該第二偶極摻雜劑的一第三偶極摻雜劑。
  19. 根據請求項18所述的半導體裝置,其中該第五偶極區域包含該第一偶極摻雜劑,但不包含該第二偶極摻雜劑和該第三偶極摻雜劑。
  20. 根據請求項19所述的半導體裝置,其中該第六偶極區域包含該第二偶極摻雜劑,但不包含該第一偶極摻雜劑和該第三偶極摻雜劑。
TW112113740A 2022-04-13 2023-04-12 半導體裝置及其製造方法 TW202406021A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263362925P 2022-04-13 2022-04-13
US63/362,925 2022-04-13
US17/896,970 2022-08-26
US17/896,970 US20230335551A1 (en) 2022-04-13 2022-08-26 Semiconductor device and method of manufacture

Publications (1)

Publication Number Publication Date
TW202406021A true TW202406021A (zh) 2024-02-01

Family

ID=88191838

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112113740A TW202406021A (zh) 2022-04-13 2023-04-12 半導體裝置及其製造方法

Country Status (4)

Country Link
US (1) US20230335551A1 (zh)
KR (1) KR20230146988A (zh)
DE (1) DE102023104549A1 (zh)
TW (1) TW202406021A (zh)

Also Published As

Publication number Publication date
DE102023104549A1 (de) 2023-10-19
US20230335551A1 (en) 2023-10-19
KR20230146988A (ko) 2023-10-20

Similar Documents

Publication Publication Date Title
US10741400B2 (en) Gate replacement structures in semiconductor devices
US11594410B2 (en) Treatment for adhesion improvement
TWI715218B (zh) 半導體元件及其製造方法
US11152508B2 (en) Semiconductor device including two-dimensional material layer
TW202145313A (zh) 半導體裝置及其形成方法
CN112420515A (zh) 半导体装置的形成方法
TWI803861B (zh) 半導體裝置及其製造方法
TW202232755A (zh) 半導體裝置及其形成方法
TWI764132B (zh) 半導體裝置及其製造方法
TW202230790A (zh) 半導體元件與其製造方法
TWI746025B (zh) 半導體裝置及其製造方法
TW202406021A (zh) 半導體裝置及其製造方法
CN112420611A (zh) 半导体装置的形成方法
TWI798543B (zh) 半導體裝置及其製造方法
US11710665B2 (en) Semiconductor device and method of manufacture
CN116666306A (zh) 半导体器件及其制造方法
TWI760052B (zh) 形成閘電極的方法、半導體裝置及製造半導體裝置的方法
TW202221772A (zh) 填充結構及其製造方法
TW202238733A (zh) 半導體元件及其製造方法
TW202425342A (zh) 用於環繞式閘極電晶體之替代金屬閘極整合
TW202308041A (zh) 半導體裝置及其形成方法