TW202326904A - 氣流裝置與晶圓傳送裝置及方法 - Google Patents

氣流裝置與晶圓傳送裝置及方法 Download PDF

Info

Publication number
TW202326904A
TW202326904A TW111136061A TW111136061A TW202326904A TW 202326904 A TW202326904 A TW 202326904A TW 111136061 A TW111136061 A TW 111136061A TW 111136061 A TW111136061 A TW 111136061A TW 202326904 A TW202326904 A TW 202326904A
Authority
TW
Taiwan
Prior art keywords
pressure layer
layer
saturation pressure
gas
inlet
Prior art date
Application number
TW111136061A
Other languages
English (en)
Inventor
吳仁豪
胡政綱
徐伊芃
吳政隆
劉旭水
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202326904A publication Critical patent/TW202326904A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/0027Filters or filtering processes specially modified for separating dispersed particles from gases or vapours with additional separating or treating functions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

裝載埠接收晶圓搬運器。設備前端模組(EFEM)通過EFEM的殼體中的出入開口將半導體晶圓傳輸到晶圓搬運器和從晶圓搬運器傳輸,並且還將晶圓傳輸到半導體處理或特性化工具和從半導體處理或特性化工具傳輸。設置在EFEM的殼體內部的氣流裝置被連接以接收相對濕度為10%或更低的低濕度氣體,並且被定位為使接收的低濕度氣體流過出入開口。例如由於穿過飽和壓力層的孔的可變直徑和/或可變密度,氣流裝置的飽和壓力層具有隨著與飽和壓力層的進氣邊緣的距離增加而增加的對低濕度氣體的穿透性。氣流裝置中的過濾層使離開飽和壓力層的氣體均勻。

Description

用於半導體處理或特性化工具的設備前端模組的濕度控制裝置
以下涉及半導體製造技術、半導體晶圓轉移技術、半導體製造設備技術、設備前端模組(equipment front end module,EFEM)技術和相關技術。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述組件及排列的具體實例以簡化本發明。當然,這些僅爲實例而非旨在進行限制。舉例來說,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成爲直接接觸的實施例,且也可包括其中在第一特徵與第二特徵之間可形成附加特徵從而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本發明可在各種實例中重複使用參考編號和/或字母。此種重複使用是爲了簡明及清晰起見,且自身並不表示所論述的各種實施例和/或配置之間的關係。
此外,爲易於說明,本文中可能使用例如「在…之下(beneath)」、「在…下方(below)」、「下部的(lower)」、「在…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。除了圖中所繪示的取向以外,所述空間相對性用語還旨在囊括元件在使用或操作中的不同取向。裝置可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地作出解釋。
在半導體製造設備中,批量晶圓在晶圓盒中轉移,例如設計為與一系列不同的半導體處理和特性化工具(characterization tools)兼容的前開式晶圓傳送盒(front-opening unified pod,FOUP)。在用於製造積體電路(IC)的典型半導體晶圓處理工作流程中,半導體處理或特性化工具(在本文中通常稱為「工具」)包括設備前端模組(EFEM)和裝載埠。高架搬運系統(overhead transport,OHT)或其他自動運輸系統將FOUP或其他晶圓盒傳送到工具的裝載埠,並且EFEM的自動化機構將晶圓從FOUP加載到工具的處理腔室中以進行處理或特性化(characterization)。作為非限制性示例,自動化機構可以是多軸機器人等。處理腔室可設計有真空和/或氣體連接,以為晶圓處理或特性化提供控制氣氛(controlled atmosphere)。例如,可以將處理腔室抽到所需的真空水平,用氮氣或合成氣體(氮氣/氫氣混合物)或另一種選定的氣氛(atmosphere)回填,各種製程氣體流入處理腔室等等,以促進半導體晶圓處理或特性化。在工具執行了預期的處理或特性化之後,處理腔室將返回(如果需要)到合適的氣氛中以移除晶圓,EFEM的自動化機構將晶圓從工具的處理腔室卸載回FOUP或其他晶圓盒,然後由OHT拾取並轉移到另一個工具的裝載埠,用於IC處理工作流程的下一步。這種自動化的晶圓傳送和轉移提供了高效率的晶圓處理量,並且(與無塵室設備一起)限制了由製造工人抖落或由空氣運載設備循環的灰塵、皮膚細胞或類似物造成的晶圓污染。
理想情況下,工作流程是連續的,因此FOUP或其他晶圓盒僅用於在工具之間傳輸晶圓批次。然而,在實踐中,晶圓傳輸可能會因工具完成處理或特性化工作流程中的另一批次,或由於更換耗材的延遲等原因而延遲。在這些情況下,晶圓批次可能會在其FOUP中存儲一段時間。
基於這些考量,為了進一步降低晶圓污染的可能性,FOUP或其他晶圓盒可以是密封單元,在某些設計中可以用超潔淨乾燥空氣(extreme clean dry air,XCDA)、氮氣淨化以保護晶圓免於污染物和過高的相對濕度。值得注意的是,由於相對濕度較高,空氣中的水分會與先前執行的製程沉積在晶圓上的殘留材料發生反應,這種反應會形成對IC良率產生不利影響的晶圓缺陷。作為一個非限制性示例,IC處理中常用的餘氯或氟氣體可與水反應形成有害的晶圓缺陷。對於特徵尺寸較小的IC製造,此種問題有時更為嚴重。
如前所述,用氮氣或XCDA等淨化氣體淨化FOUP可有利於減少FOUP中的相對濕度。這需要將氣體接頭結合到FOUP中,它會自動連接淨化氣體和工具的裝載埠的排氣管線。然而,FOUP的前部開口呈現大面積界面,在晶圓進出FOUP期間,來自EFEM的氣體可通過該界面進入FOUP。由於存在狹窄區域(例如在晶圓批次的晶圓之間),FOUP的內部體積的淨化可能不完整。值得注意的是,半導體晶圓通常存儲在FOUP中的多槽或多架支撐框架中,堆疊的相鄰晶圓之間存在小間隙。晶圓之間的這些空間存在難以沖洗的狹窄區域。
在本文公開的實施例中,濕度控制裝置被整合到設備前端模組(EFEM)中,並且在一些實施例中,其定位在入口處,其中晶圓在轉移到工具或從工具轉移時在該入口處穿過FOUP的前部開口。
此等和其他的方面將在下文中進一步詳細描述。
參考圖1,半導體處理或特性化工具1由設備前端模組(EFEM)2(更一般地,晶圓傳送裝置2)提供服務。圖1通過部分方框示意性地描繪了半導體處理或特性化工具1的一部分。半導體處理或特性化工具1通常可以是在半導體晶圓上進行製造的積體電路(IC)處理或IC的特性化(characterization)中使用的任何類型的設備。作為非限制性示例,半導體處理工具1可以是:沉積腔室,用於使用諸如原子層沉積(atomic layer deposition,ALD)、濺鍍、電子束(e-beam)蒸鍍沉積、射頻(RF)電漿沉積等技術將金屬、電介質、多晶矽或其他類型的材料沉積為單層或層堆疊;蝕刻腔室,用於對材料進行基於電漿的蝕刻(plasma-based etching);旋轉器(spinner),用於光阻等材料的旋塗沉積;光蝕刻系統(photolithography system),用於沉積光阻層的光罩曝光;光蝕刻顯影工具(photolithography developer tool);爐或快速熱退火(rapid thermal annealing,RTA)工具,用於執行晶圓的熱處理;和/或類似物。作為非限制性示例,半導體特性化工具1可以是:用於IC特徵的臨界尺寸(critical dimension,CD)測量的掃描電子顯微鏡(scanning electron microscope,SEM)(即CDSEM);用於測量層厚度的橢偏儀(ellipsometry )工具;用於執行基於X射線的測量的X射線繞射系統;或類似者。同樣地,這些僅是非限制性的說明示例,EFEM 2可以更一般地是用於任何類型的半導體處理或特性化工具1的前端模組(front end module)。
EFEM 2包括機器人(例如,多軸機器人、線性軌道機器人等)或其他被配置為將半導體晶圓W轉移到半導體處理或特性化工具1的自動化晶圓傳送裝置3。說明性的自動化晶圓傳送機3是包括末端執行器4的機器人,用於提起選定的處於運載中的晶圓W。儘管未示出,晶圓傳送裝置3還可以可選地包括晶圓對準器(aligner)或用於執行其他晶圓處理功能例如翹曲校正、晶圓對準和/或其類似者的其他設備。EFEM 2還包括殼體5,該殼體5包圍EFEM 2的內部空間6,自動化晶圓傳送硬體3在其中運行。設置在EFEM 2上部的可選氣流單元7包括氣體過濾器8,來自EFEM 2的殼體5外部的氣體通過該氣體過濾器8向下流入EFEM 2的殼體5的內部6。通過非限制性說明示例,說明性氣流單元7包括從氣體入口導管(未示出)通過氣體過濾器8驅動空氣的風扇9,氣體過濾器8例如可以是高效微粒吸收(high-efficiency particulate absorbing,HEPA)過濾器8。氣流單元7有利地在內部6中提供經過濾的空氣,HEPA過濾器8已從該過濾空氣中去除了顆粒,從而降低了EFEM 2的晶圓傳送裝置3處理的晶圓W的顆粒污染的可能性。由於EFEM 2通常安裝在半導體製程設施(“fab”)的無塵室中,因此可選的氣流單元7應理解為在晶圓傳送點提供額外的空氣過濾。
繼續參考圖1並進一步參考圖2,進一步描述晶圓轉移到EFEM 2中。前開式晶圓傳送盒(Front Opening Unified Pod,FOUP)10(或更一般地,晶圓搬運器或晶圓存儲器10)通過半導體處理或特性化工具1為處理或特性化存儲一批半導體晶圓W。FOUP 10可包括例如用於保持個體晶圓的槽。FOUP 10被接收到裝載埠11上的固定位置,該固定位置由匹配的對準銷和/或開口或FOUP 10和裝載埠11的其他對準特徵適當地限定。示例性FOUP 10包括把手或其他特徵12,高架搬運系統(overhead transport,OHT)或類似物的機器人夾具可以通過該把手或其他特徵12搬運FOUP 10並將其放置在裝載埠11上以交付一批晶圓W用於處理,並在處理後抬起FOUP 10離開裝載埠11並將其運送到工作流程中的下一處理或特性化工具。將FOUP 10裝載到裝載埠11上通常還涉及FOUP 10的前門或蓋體(未顯示)的自動開口以及接近的EFEM的殼體5的垂直壁中的相應門或蓋體(未顯示)如圖2所示,後段的操作顯露了EFEM 2的殼體5的垂直壁中定義的出入開口14。就此而言,圖2描繪了圖1中指示的V-V視圖,即是從EFEM殼體5的內部6看向出入開口14。在圖2中,也省略了機器人3以防止其遮擋出入開口14的視野。優選地,FOUP 10的前部開口和對應的出入開口14設計有墊片或類似物,以在FOUP 10和EFEM殼體5之間的該連接處提供密封。FOUP 10裝載在裝載埠11上並因此建立了出入開口14,EFEM 2的機器人3操作以使末端執行器4通過出入開口14並提起半導體晶圓W以轉移到半導體處理或特性化工具1。在晶圓被工具1處理或特性化之後,晶圓然後由機器人3轉移出工具1並返回到FOUP 10。
應當理解,用於半導體處理或特性化工具1的圖示說明的EFEM 2和裝載埠11僅僅是說明性示例,並且可以設想多種變體。作為此類變體的一些非限制性示例,雖然所示佈置具有其中EFEM 2介於裝載埠11和工具1之間的直通(straight-through)幾何形狀,但也可以採用其他幾何形狀,例如右手或左手幾何形狀,其中(取裝載埠作為0 o參考角)工具1處於+90 o或-90 o角,因此從裝載埠到工具的晶圓路徑包括一個直角。在另一設想的變體中,如果工具1一次可以處理多於一個晶圓,如此機器人晶圓傳送裝置3可以更複雜,例如包括多個可單獨操作的末端執行器,用於同時將多個(例如兩個或更多個)晶圓裝載到工具1中或從工具1中裝載出來。在類似的變體中,單個EFEM 2可以服務兩個或更多個不同的工具,從而提供多個晶圓的同時(不同)處理,並且EFEM的機器人晶圓傳送裝置3可適當地配置以執行因此需要的更複雜的晶圓傳送。在工具1是爐或RTA單元或將升高的溫度施加於晶圓W的其他工具的情況下,預期晶圓W將被支撐在晶舟(未繪示)上,以便於裝載和卸載進出工具1。在其他設想的變體中,EFEM 2可設計為具有多個裝載埠11,用於同時放置兩個或更多個FOUP 10以提高工作流程效率。在另一設想的變體中,自動晶圓傳送裝置3可包括末端執行器堆疊,用於同時從FOUP 10中卸載整批晶圓W。同樣地,這些僅是預期變體的非限制性說明示例。
如前所述,具有說明性的HEPA過濾器8的可選氣流單元7可以在EFEM殼體5的內部6中提供微粒或污染物的低水平,此有利地在晶圓W通過內部容積6傳輸時降低了晶圓W的微粒污染的可能性。但是,氣流單元沒有處理EFEM殼體5的內部6中的空氣濕度問題。可能會導致一個問題,即由氣流單元7輸送到內部6的強制氣體可能具有較高的相對濕度。一般而言,如果氣流單元7輸送由HEPA過濾器8過濾的環境空氣,則該空氣與無塵室或其他環境中的空氣具有大致相同的相對濕度。無塵室空氣運載系統通常將氣體的相對濕度控制在30-50%RH(相對濕度)的範圍內,更常見的是在35-40%RH左右。(本文所指的相對濕度值適用於無塵室中的典型「室溫」,對於典型的無塵室約為19-23 oC,例如典型的特定溫度為21 oC)。各種考慮因素會影響無塵室氣體的RH下限的選擇。例如,當RH低於約35%時,靜電積聚會增加。將無塵室氣體降低到30%RH以下也會給無塵室人員帶來不適,例如導致皮膚龜裂或乾燥,還可能導致呼吸不適。
在典型的EFEM中,由氣流單元7提供的強制氣體可以吹入FOUP 10,這可以將FOUP 10內部的RH提高到與無塵室氣體大致相同的RH(例如,30-35%或更高)。FOUP 10中的這種相對較高的RH會導致在FOUP 10中存儲的晶圓W上產生殘留的副產物。對於線寬較窄的高階半導體製程,例如節點N16或更小的臨界尺寸(critical dimension,CD)尺寸,過大的RH會導致產生晶圓降解的副產物的相互作用。也就是說,FOUP 10內部的高RH與先前處理步驟中殘留在晶圓W上的化學物質的組合會導致氣體中的水分與先前處理沉積在晶圓上的殘留材料之間的有害化學反應。此類反應可形成對IC良率產生不利影響的晶圓缺陷。作為非限制性示例,IC處理中常用的氯氣或氟氣可在晶圓表面上留下殘留的氯化物或氟化物,這些化合物可與水反應形成有害的晶圓缺陷。
解決該問題的一種方法是提供用諸如氮氣的低濕度氣體淨化和回填FOUP 10。圖1示出了此種佈置,其中集成到裝載埠11中的氣體入口和出口管線15、16能夠用氮氣或其他乾燥氣體回填FOUP 10。然而,這有一些缺點。回填只能在FOUP 10的前門被密封的情況下進行,而當FOUP 10通過出入開口14對EFEM 2開放,晶圓W暴露在高RH下。此外,FOUP 10可能具有限制空間,例如晶圓W批次的晶圓之間的間隙,且這些限制空間可能未被完全淨化。
另一選擇可能是將氣流單元7的入口導管連接到較低相對濕度的氣體源,例如氮氣或乾燥氣體。然而,這將需要大量的乾燥氣體,並且這種大量的流量將被排放到無塵室大氣中,從而產生不期望的和潛在不安全的情況(例如,產生氮氣置換無塵室氣體的可能性)。此外,強制進入FOUP殼體體積6的乾燥氣體也會進入工具1,取決於工具1的性質,這可能是不期望的。
在本文公開的實施例中,EFEM 2的殼體5的內部6中的高RH氣體被設置在EFEM 2的殼體5內的專用氣流裝置20阻止進入FOUP 10。連接氣流裝置20以接收低濕度氣體22,例如超潔淨乾燥空氣(XCDA)、氮氣等。在一些實施例中,低濕度氣體22的相對濕度為10%或更少。氣流裝置20被定位成使接收到的低濕度氣體流過EFEM 2的殼體5的出入開口14,半導體晶圓W通過該出入開口14被機器人3傳送到FOUP 10和從FOUP 10傳送。低濕度氣體的此種流動在圖1中用向下箭頭24表示。濕度控制裝置20提供下降氣流氣體24以達成在入口區域(即出入開口14)處的清潔度,晶圓W穿過該入口區域傳送到FOUP 10或從FOUP 10傳送。特別是,氣流裝置20限制了進入FOUP 10的濕度量—因此,氣流裝置20在本文中有時也稱為濕度控制裝置20。為此,在一些實施例中,低濕度氣體22具有10%或更低的相對濕度,這有助於氣流裝置20將FOUP 10中的相對濕度保持在25%或更低的值。更一般地,低濕度氣體22適當地具有充分低於FOUP 10中的目標相對濕度的相對濕度,使得低濕度氣體24的氣流足以在FOUP 10中達到該目標相對濕度。在FOUP 10中達到目標相對濕度的低濕度氣體22的合適相對濕度可以通過在FOUP 10內部放置濕度計來測量在操作期間(例如晶圓在濕度控制裝置20操作時轉移到或從FOUP 10中轉移)FOUP 10內部的相對濕度來容易地確定。
儘管在此描述了濕度控制,但是應當理解,氣流裝置20可以提供額外的和/或其他的益處,這取決於與氣流裝置20連接的氣體22的性質。例如,進入FOUP 10的氧氣量可以通過選擇氮氣作為低濕度氣體22來限制。作為非限制性說明示例,在一些情況下,過量的氧暴露對晶圓W也可能產生有害影響,例如通過促進可能導致表面氧化物厚度變化的氧化。
氣流裝置20控制進入FOUP 10的濕度(可選地,和氧氣),從而提供晶圓品質改進。所公開的濕度控制裝置20的各種實施例提供了各種優點,包括晶圓品質的改進,例如通過控制進入FOUP 10的空氣(或更一般地氣體)的濕度(可選地,和氧含量)。氣流裝置20產生空氣屏障(或更一般地,氣體屏障,即圖1中所示的下降氣流24)以避免(或至少減少)濕氣進入FOUP 10。在一些非限制性說明實施例中,FOUP 10中的相對濕度被控制為小於或等於25%,例如通過在一些非限制性說明實施例中採用相對濕度為10%或更低的低濕度氣體22。氣流裝置20的另一個優點是低濕度氣體的流動速率可以相對較低,因為它特別指向穿過出入開口14。例如,如果將低濕度氣體改為連接到氣流單元7的入口導管以使低濕度氣體流過FOUP2的殼體5的整個內部體積6,則低濕度氣體22的流動速率可能比所需的要少得多。低濕度氣體22的低消耗具有許多優點,包括降低消耗品低濕度氣體22的成本,減少或消除對無塵室氣體置換的顧慮(例如,如果低濕度氣體22是氮氣),以及將低濕度氣體22作為貯氣瓶(例如,XCDA氣瓶或氮氣貯氣瓶)供應的選項。此外,本文公開的氣流裝置20中的一些實施例中,下降氣流24使用超低顆粒氣體過濾器(ultra-low particulate air filter,ULPA過濾器)過濾,使其具有低顆粒計數,因此(進一步)在轉移到或從FOUP 10中轉移出的期間降低晶圓W的顆粒污染。
現在參考圖3和圖4,描述了氣流裝置20的說明性實施例,其增強了氣流裝置20在提供上述益處方面的有效性。說明性的氣流裝置20產生向下氣體24作為穿過出入開口14的良好過濾且空間均勻的氣流24,形成保護性氣簾。圖3示意性地示出組裝的氣流裝置20的透視圖,而圖4示意性地示出氣流裝置20的分解透視圖。示意的氣流裝置20包括:進氣層30;一個或多個(示意性地為三個)氣體噴嘴32;至少一個飽和壓力層34;至少一個O形環36;至少一個連接層38;至少一個均勻層40;和導流層42。圖3和圖4中所示的濕度控制裝置20可以與EFEM 2和裝載埠11以及FOUP 10一起適當地用作前面的圖1和圖2中所示的濕度控制裝置20。
繼續參考圖3和圖4並進一步參考圖5和圖6,在一些實施例中,進氣層30和飽和壓力層34一起形成氣室腔室30、34,其中飽和壓力層34形成氣室腔室30、34的壁。飽和壓力層34具有形成氣室腔室30、34的內表面的圖5所示的進氣表面50,還具有形成氣室腔室30、34的外表面的圖6所示的出氣表面52。連接氣室腔室30、34以在飽和壓力層34的進氣邊緣54處將低濕度氣體22接收到氣室腔室30、34(通過氣體噴嘴32)。飽和壓力層34對低濕度氣體22具有隨著與進氣邊緣54的距離增加而增加的穿透性。在所示示例中,此隨著與進氣邊緣54的距離增加而增加的穿透性是通過孔60實現的,該孔60穿過飽和壓力層34以提供從進氣表面50到出氣表面52的氣流。飽和壓力層34的穿透性的這種梯度有利地抵消了氣室腔室30、34中的氣體壓力從接近進氣邊緣54的最高壓力逐漸降低到遠離進氣邊緣54的最低壓力。
繼續參考圖4-6,飽和壓力層34的穿透性的梯度是通過飽和壓力層34的孔(即孔口或開口或孔隙)60的設計在示意的實施例中實現的,這迫使低濕度氣體穩定地進入均勻層40和導流層42,這可以提高可靠性。孔60穿過飽和壓力層34,讓低濕度氣體流過遠離均勻層40一側的飽和壓力層34,穿過孔60到達均勻層40和導流層42。換句話說,飽和壓力層34具有進氣表面50及與進氣表面相對的出氣表面52(僅在圖6中示出),來自氣體噴嘴32的氣體流過進氣表面50,在穿過孔60之後,低濕度氣體從出氣表面52向均勻層40和導流層42輸出。孔60從進氣表面50到出氣表面52通過飽和壓力層34以允許來自氣體噴嘴32的氣體流過進氣表面50以通過飽和壓力層34在出氣表面52離開。
參考圖7,示出了飽和壓力層34的一部分的平面圖(即俯視圖),即查看進氣表面50。在一些非限制性的示意實施例中,飽和壓力層34有具有至少兩種孔尺寸的孔60(例如,在示意的示例中排列成直線)。在一些非限制性的示意實施例中,額外的孔,例如示意的額外的四個或更多額外的孔60c,位於飽和壓力層34的四個隅角中的每一個中。氣體噴嘴32使低濕度氣體22從進氣邊緣54流過飽和壓力層34(的進氣表面50)。孔60與氣體噴嘴32的距離越近(或者相當於離飽和壓力層34的進氣邊緣54越近),孔直徑越小。另外,孔60與氣體噴嘴32的距離越近(或者相當於離飽和壓力層34的進氣邊緣54越近),孔的數量越少。參考圖7,其中孔尺寸表示為h1、h2、...hn,可以看出孔尺寸h1<h2<…<hn(其中一些非限制性的示意示例中,h1>0.1毫米且h1<3毫米)。在一些實施例中,嚴格不等式成立,即h1<h2<…<hn。不限於任何特定的操作理論,此處認為孔尺寸隨著與進氣邊緣54的距離增加而增加(例如,h1<h2<…<hn)有利地平衡靠近飽和壓力層34的進氣邊緣54的較高的氣流和/或壓力,從而通過飽和壓力層34在其整個表面區域上提供實質上均勻的氣體流動速率。與壓力層34在其表面上具有均勻密度和尺寸的孔的替代設計相比,這提供了向下氣體24(參見圖1)的改進的均勻性。
每個孔直線的孔到孔距離表示為W1、W2、...、Wn,並且可以看出W1>W2>...>Wn(其中在一些非限制性示意實施例中,W1>1毫米且W1<50毫米)。在一些實施例中,嚴格不等式成立,即W1>W2>…>Wn。不限於任何特定的操作理論,此處認為使孔到孔的距離隨著與進氣邊緣54的距離增加而減小(例如,W1>W2>…>Wn)有利地平衡了靠近飽和壓力層34的進氣邊緣54的更高的氣流和/或壓力,從而通過飽和壓力層34在其整個表面區域上提供實質上均勻的氣體流動速率。與壓力層34在其表面上具有均勻密度和尺寸的孔的替代設計相比,這提供了向下氣體24(參見圖1)的改進的均勻性。如圖7所示,兩種方法(例如,W1>W2>…>Wn和h1<h2<…<hn)可在同一實施例中使用,以通過飽和壓力層34在其整個表面區域上實現所需的均勻氣體流動速率。
換句話說,孔60的密度隨著與氣體噴嘴32的距離增加(或等效地,隨著與進氣邊緣54距離的增加)而增加,和/或孔60的直徑隨著與氣體噴嘴32的距離增加(或等效地,隨著與進氣邊緣54的距離增加)而增加。應當注意,雖然孔尺寸在本文中由「直徑」指代,暗示圓形孔或孔口60,更一般地,孔或孔口60可以具有其他橫截面幾何形狀,例如正方形孔。
在示意的圖5-7中,孔60(除了隅角孔60c)佈置成相互平行的直線,這些直線也與飽和壓力層34的進氣邊緣54平行。具有最小的直徑h1的孔直線最接近進氣邊緣54並且具有最大的間隔W1。具有次小的直徑h2的孔直線次接近進氣邊緣54並且具有次大的間隔W2,依此類推,直到具有最大的直徑hn的最後孔直線離進氣邊緣54最遠並且具有最小的間隔Wn。在一些非限制性示意實施例中,飽和壓力層34包括厚度為20mm或更小的固體材料。飽和壓力層34可由各種材料製成。例如,在一些非限制性示意實施例中,飽和壓力層34可由塑料或聚合物製成(即包括),作為一些非限制性示意示例,例如聚對苯二甲酸乙二酯(PET)、高密度聚乙烯(HDPE)、聚氯乙烯(PVC)、低密度聚乙烯(LDPE)、聚丙烯(PP)、聚苯乙烯(PS)、超高分子量聚乙烯(UPE)或聚乙烯(PE)。在其他非限制性示意實施例中,作為一些非限制性示意示例,飽和壓力層34可由例如鋁合金、不鏽鋼或鈦合金的金屬製成(即包括)。在其他非限制性示意實施例中,作為非限制性示意示例,飽和壓力層34可由諸如石英的玻璃材料製成(即包括)。在其他非限制性示意實施例中,作為一些非限制性示意示例,飽和壓力層34可由諸如氧化鋁(Al 2O 3)或氧化鋯(ZrO 2)的陶瓷材料製成(即包括)。
在其他設想的實施例中,孔60可以具有除直線之外的佈置,其中孔尺寸隨著與進氣邊緣54的距離增加而增加和/或孔的密度隨著與進氣邊緣54的距離增加而增加。
不限於任何特定的操作理論,此處認為使飽和壓力層34的孔密度和/或孔尺寸隨著與進氣邊緣54的距離增加而增加,如在圖7的示例中所見,有利地平衡了接近飽和壓力層34的進氣邊緣54的較高的氣流和/或的壓力,從而通過飽和壓力層34在其整個表面區域上提供實質上均勻的氣體流動速率。與壓力層34具有橫跨其表面均勻密度和尺寸的孔的替代設計相比,這提供了向下氣體24(參見圖1)的改進的均勻性。孔直徑和孔密度(或類似地,孔到孔間隔)的詳細值可以針對給定設計根據經驗和/或使用計算機模擬不同的孔配置的氣流進行優化,以實現通過飽和壓力層34在其表面區域上氣體流動速率的所需均勻性。
同樣不限於任何特定的操作理論,此處認為位於飽和壓力層34的四個隅角中的每一個中的可選額外孔60c提供了隅角處通過飽和壓力層34的氣流的剪裁,以避免隅角處的停滯區域和隨之而來的在飽和壓力層34的隅角處氣流24的不均勻性。額外的隅角孔60c的詳細配置(例如,孔的數量、孔直徑和排列)可以再次針對給定設計根據經驗和/或使用計算機模擬不同的隅角孔配置的氣流進行優化。
通過增加直徑h1、h2、...、hn和/或隨著與進氣邊緣54的距離增加而減少孔60的間隔W1、W2、...、Wn,示意的飽和壓力層34實現了隨著與進氣邊緣54的距離增加而增加的對低濕度氣體的穿透性。然而,其他用於實現隨著與進氣邊緣54的距離增加而增加的對低濕度氣體的穿透性的佈置是可以預期的。作為進一步的非限制性示例,飽和壓力層可以是隨機排列的纖維墊,例如高效率空氣微粒(high-efficiency particulate air,HEPA)過濾器,其中纖維密度隨著與進氣邊緣的距離增加而降低,從而使HEPA過濾器的透氣性隨著與進氣邊緣的距離增加而增加。
再度參考圖4,非限制性示意實施例中的均勻層40包括過濾層。導流層42位於濕度控制裝置20的底部。在一些非限制性示意實施例中,導流層42的厚度T大於均勻層40的厚度,並且均勻層40可以可選地安裝在導流層42中(例如,均勻層40可以部分或完全坐落在導流層42中)。在一些非限制性示意實施例中,導流層42的厚度大於或等於3mm。在一些非限制性示意實施例中,作為一些非限制性示意示例,均勻層40可由塑膠或聚合物材料製成(即包括),例如聚對苯二甲酸乙二酯(PET)、高密度聚乙烯(HDPE)、聚氯乙烯(PVC)、低密度聚乙烯(LDPE)、聚丙烯(PP)、聚苯乙烯(PS)、超高分子量聚乙烯(UPE)或聚乙烯(PE)。延伸到均勻層40下方的導流層42可以改善可靠性,例如通過引導氣流形成濕度控制裝置20輸出的下降氣流24以產生定義明確的氣簾以保護EFEM 2的殼體5的出入開口14。
繼續參考圖4,現在進一步參考圖8和圖9,在一些實施例中,均勻層40是過濾層40。例如,過濾層40可以是超低顆粒氣體(ultra low particulate air filter,ULPA)過濾器,其有利地提供對由濕度控制裝置20輸出的下降氣流24的顆粒的強過濾。在另一非限制性示意示例中,過濾層40可以是HEPA過濾器。在圖8的示意實施例中,導流層42形成過濾器容器,過濾層40設置在該過濾器容器中。在一些非限制性示意實施例中,過濾器容器42具有至少為3毫米的厚度T。如圖9所示,在一些非限制性示意實施例中,過濾層40是具有褶結構70的褶型過濾層。在一些這樣的實施例中,褶結構70具有40mm或更小的褶結構高度H P和大於或等於0.1mm的褶結構間距P P。在一個非限制性示意示例中,其中均勻層40是長度為400mm的折疊式ULPA過濾器,褶結構70的數量大於或等於400。在另一非限制性示意示例中,褶結構70的間距P P在0.1mm到2mm的範圍內,褶結構70的高度H P在2mm到40mm的範圍內。
再參考圖4,連接層38用於連接包括進氣層30和飽和壓力層34的上部子模組(即氣室腔室)30、34和包括導流層42和過濾器或其他均勻層40的下部子模組。連接層38在這兩個子模組之間提供了有限的間隔。在一些實施例中,連接層38具有有效保證上部子模組30、34和下部子模組40、42之間有0.5mm或更大的間隔的厚度。通常,連接層38的厚度至少為0.5毫米且小於50毫米。
因此,通過再參照圖1,裝載埠11接收晶圓搬運器10(例如,示意的FOUP 10)。EFEM 2通過EFEM 2的殼體5中的出入開口14將半導體晶圓W傳輸到晶圓搬運器10和從晶圓搬運器10傳輸,並且還將晶圓W傳輸到半導體處理或特性化工具1和從半導體處理或特性化工具1傳輸。設置在EFEM 2的殼體5內部的氣流裝置20被連接以接收低濕度氣體22(在一些實施例中,具有10%或更低的相對濕度),並且被定位成使接收的低濕度氣體流過出入開口14(例如,作為下降氣流24)。如圖5-7中最詳細地顯示,氣流裝置20的飽和壓力層34具有隨著與飽和壓力層34的進氣邊緣54的距離增加而增加的對低濕度氣體22的穿透性。飽和壓力層34的可變穿透性可能是由於穿過和飽和壓力層34的孔60的可變直徑和/或密度。過濾層40被佈置為接收和均勻化離開飽和壓力層34的低濕度氣體。
示意的實施例應被理解為非限制性示意示例,並且可以設想其多種變體。以下描述了一些進一步設想的變體的非限制性示例。
圖4的O形環36和/或連接層38可以用密封墊片或其他密封機構和/或用於連接進氣層30和均勻層40和導流層42的子組件的其他硬體代替。
雖然圖1示出濕度控制裝置20被佈置為產生向下指向的下降氣流24,但也設想到濕度控制裝置20和輸出氣流24的其他定向。在一個變體實施例中,濕度控制裝置被定向為使得下降氣流部分指向出入開口14,以將一些低濕度氣體22驅動到FOUP 10中。
如圖7所示,關於示意飽和壓力層34和尺寸可變(例如,h1<h2<…<hn)和和分佈可變(W1<W2<…<Wn)設計的孔60,在變體實施例中,孔60的直徑可能會有所不同(例如,不同的直徑h1<h2<…<hn)而不同直徑的孔之間的間隔可能相同(即W1=W2=…=Wn)(即孔的密度是均勻的)。類似地,在另一變體實施例中,孔60的直徑可能相同(h1=h2=…=hn),而孔之間的間隔可能不同(W1<W2<…<Wn)(或更一般地,孔的密度可能是不均勻的並且隨著與進氣邊緣54的距離增加而增加)。
在又一個變體中,孔60不必如像圖5-7的實施例佈置為相互平行的直線。在另一實施例中,孔排列成正方形、六邊形或八邊形單元格的網格,其中直徑和/或孔的密度隨著與進氣邊緣54的距離增加而增加。
在另一變體中,具有變量尺寸和/或分佈設計的孔60(或其他方式的空間變化的氣體穿透性)的飽和壓力層34可以在沒有均勻層40和/或沒有導流層42的情況下使用。
所公開的提供氣流24的氣流裝置20(參見圖1的示例)可以用於除濕度控制之外或除此以外的目的,例如用於顆粒控制、氧氣控制和/或其他。在這方面,可以針對給定的應用選擇通過氣體噴嘴32流入氣流裝置20的氣體,例如氣體可以是具有選定的相對濕度的經過濾和/或純化的空氣(例如,超潔淨乾燥空氣,即XCDA),或者可以是氮氣,惰性氣體,或者可能是其他選定的氣體。
作為另一變體,氣流裝置20可具有與參考圖3-9描述的配置不同的配置。例如,氣流裝置可以是能夠使氣體流動的任何元件,被設置在EFEM 2的殼體5內部並且被連接以接收低濕度氣體22(例如,具有10%或更低的相對濕度)並且被定位成使接收的低濕度氣體流過EFEM 2的殼體5的出入開口14。設想了用於提供均勻的下降氣流氣體的其他方法,例如使用具有最終ULPA過濾器的HEPA過濾器的堆疊以將進入氣體擴散到例如均勻的氣簾中。
作為另一變體,濕度控制裝置20可以有利地單獨使用或與圖1中所示的氣體入口和出口管線15、16結合使用,集成到裝載埠11中以使得能夠用氮氣或其他乾燥氣體回填FOUP 10。這兩個系統的組合通過濕度控制裝置20限制潮濕氣體在晶圓轉移期間通過出入開口14進入以及通過在FOUP 10的前部開口關閉後進一步淨化FOUP 10來有利地對抗FOUP 10中的高濕度。如前所述,可能難以對FOUP 10內的受限空間(例如相鄰晶圓W之間的間隙)進行淨化─因此,濕度控制裝置20對潮濕氣體進入的額外抑制可以通過減少所需淨化的濕度來與FOUP淨化協同工作。
再參考圖1並進一步參考圖10,公開了利用例如圖3-9的氣流裝置的晶圓傳送方法。在操作80中,使用EFEM 2將至少一個半導體晶圓W從FOUP或其他晶圓搬運器10轉移到半導體處理或特性化工具1。例如,晶圓轉移可以由圖1的機器人3執行。在操作82中(其中在一些實施例中可能不被視為晶圓傳送方法本身的一部分),使用半導體處理或特性化工具1對至少一個半導體晶圓W進行處理或特性化。例如,操作82可以包括晶圓處理如材料沉積、材料蝕刻、光刻處理(例如曝光或顯影)、熱處理如退火等;或者操作82可以包括晶圓特性化,例如使用SEM、光學或其他類型的顯微鏡進行的顯微鏡測量、使用橢圓偏光計進行的橢偏測量(ellipsometry measurements)、使用X射線繞射儀進行的X射線繞射測量等。在操作84中,在處理或特性化82完成後,使用EFEM 2將至少一個晶圓W從半導體處理或特性化工具1轉移回晶圓搬運器10。
為了控制在轉移操作80和84期間進入晶圓搬運器10的濕度,至少在轉移操作80和84期間操作氣流裝置20。具體而言,在操作86中,氣流裝置20在轉移操作80期間操作,而在操作88中,氣流裝置20在轉移操作84期間操作。這是提供所需濕度控制的操作的最短時間(假設晶圓搬運器10的門是關閉的,除了在轉移操作80和84期間),且僅在此操作的最短時間期間操作有利地最小化低濕度氣體22的消耗。
更一般地,氣流裝置20可以在一個或多個更長的時間間隔中操作,該時間間隔包含轉移操作80和84的時間間隔。例如,在由圖10中的虛線框90指示的替代實施例中,氣流裝置20可以至少在晶圓搬運器10設置在裝載埠11上時連續操作。例如,裝載埠11可以包括通過將晶圓搬運器10放置在裝載埠11上來啟動的開關(例如,放置在裝載埠上的晶圓搬運器10可以壓下柱塞開關),並且開關的啟動打開氣流裝置20。這樣的開關可能已經存在以控制其他操作,例如當FOUP 10放置到裝載埠11上時FOUP 10的前門自動打開,在這種情況下,由開關產生的信號可以額外用於控制氣流裝置20。與僅在轉移操作期間(根據示意的操作86和88)操作氣流裝置20相比,通過在每個轉移操作80、84之前和之後的某些時間建立低濕度氣體下降氣流24,此種連續操作90提供了潛在的濕度控制改進。此外,如果晶圓搬運器10的門在晶圓搬運器10放置在裝載埠11上的整段時間內保持開啟,則操作90的連續操作方法是合適的。然而,與操作86和88的最小時間相比,操作90使用更高的低濕度氣體22消耗。
在另一個設想的變體中,氣流操作90連續執行而不管裝載埠11是否已經接收到晶圓搬運器10。例如,在這個變體中,氣流裝置20可以包括一個手動操作的開/關開關,並且通常設置為開啟,只要工具1被安排在半導體處理或特性化工作流程中使用。這提供了機械上簡單的結構,但將使用最大量的低濕度氣體22。
在下文中,將描述一些進一步的實施例。
在一個非限制性示意實施例中,氣流裝置包括:至少一飽和壓力層,具有進氣表面、出氣表面和從進氣表面到出氣表面穿過飽和壓力層的孔;一或多個氣體噴嘴,設置為使氣體從飽和壓力層的進氣邊緣流過飽和壓力層的進氣表面;進氣層,位於飽和壓力層的進氣表面處;和至少一均勻層,位於飽和壓力層的出氣表面處。滿足以下至少一者:(i)穿過飽和壓力層的孔的尺寸隨著與飽和壓力層的進氣邊緣距離的增加而增加;和/或(ii)穿過飽和壓力層的孔的密度隨著與飽和壓力層的進氣邊緣距離的增加而增加。在一些這樣的實施例中,均勻層包括褶型過濾層。在一些這樣的實施例中,氣流裝置更包括至少一O形環及至少一連接層,其設置在飽和壓力層的出氣表面處且在出氣表面和至少一均勻層之間提供密封連接。
在非限制性示意實施例中,晶圓傳送裝置包括裝載埠、設備前端模組(EFEM)和氣流裝置。裝載埠配置為接收相關聯的晶圓搬運器。EFEM具有殼體且被配置為將半導體晶圓傳送到相關聯的晶圓搬運器和從相關聯的晶圓搬運器傳送,通過EFEM的殼體的出入開口在裝載埠處接收該相關聯的晶圓搬運器。EFEM還被配置為將半導體晶圓傳送到相關聯的半導體處理或特性化工具從相關聯的半導體處理或特性化工具傳送。氣流裝置設置在EFEM的殼體內部並連接以接收具有10%或更低的相對濕度的低濕度氣體,且氣流裝置定位以使所接收的低濕度氣體穿過EFEM的殼體的出入開口流動。
前一段的晶圓傳送裝置的一些實施例中,氣流裝置包括氣室腔室。氣室腔室包括形成氣室腔室的壁的飽和壓力層。飽和壓力層具有形成氣室腔室的內表面的進氣表面和形成氣室腔室的外表面的出氣表面。 氣室腔室連接以將低濕度氣體在飽和壓力層的進氣邊緣處接收到氣室腔室,且飽和壓力層對低濕度氣體的穿透性隨著與進氣邊緣的距離增加而增加。
前一段的晶圓傳送裝置的在一些實施例中,飽和壓力層具有從進氣表面到出氣表面穿過飽和壓力層的孔,並且隨著與進氣邊緣的距離增加而增加的對低濕度氣體的穿透性由以下至少之一提供:(i)穿過飽和壓力層的孔的尺寸隨著與飽和壓力層的進氣邊緣的距離增加而增加和/或(ii)穿過飽和壓力層的孔的密度隨著與飽和壓力層的進氣邊緣的距離增加而增加。
在前三段中任一段的晶圓傳送裝置的一些實施例中,氣流裝置進一步包括過濾層,其設置以在飽和壓力層的出氣表面處接收離開氣室腔室的低濕度氣體。
前四段中任一段的晶圓傳送裝置的一些實施例中,裝載埠被配置為接收相關聯的晶圓搬運器,相關聯的晶圓搬運器包括前開式晶圓傳送盒(FOUP),並且EFEM的殼體的出入開口被配置為與FOUP的前部開口配合。
在非限制性示意實施例中,公開了晶圓傳送方法。使用EFEM將至少一半導體晶圓從晶圓搬運器轉移到工具。使用工具處理或特性化至少一半導體晶圓後,使用EFEM將至少一半導體晶圓從工具轉移回晶圓搬運器。至少在轉移操作期間,氣流裝置設置在EFEM的殼體內且連接以接收具有10%或更低的相對濕度的低濕度氣體,操作氣流裝置以使所接收的低濕度氣體穿過EFEM的殼體的出入開口,至少一半導體晶圓穿過出入開口被轉移。
前一段的晶圓傳送方法的一些實施例中,氣流裝置包括氣室腔室、進氣噴嘴和過濾層。氣室腔室包括形成氣室腔室的壁的透氣飽和壓力層。透氣飽和壓力層具有形成氣室腔室的內表面的進氣表面和形成氣室腔室的外表面的出氣表面。進氣噴嘴設置以在透氣飽和壓力層的進氣邊緣處將低濕度氣體流入氣室腔室。過濾層設置為接收在透氣飽和壓力層的出氣表面處離開氣室腔室的低濕度氣體。透氣飽和壓力層具有隨著與進氣邊緣的距離增加而增加的對低濕度氣體的穿透性。
在一些這樣的實施例中,透氣飽和壓力層具有從進氣表面穿到出氣表面的孔,且隨著與進氣邊緣的距離增加而增加的對低濕度氣體的穿透性由以下至少之一提供:(i)孔的尺寸隨著與進氣邊緣的距離增加而增加和/或(ii)孔的密度隨著與進氣邊緣的距離增加而增加。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本發明作爲設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、替代及變更。
1:半導體處理或特性化工具 2:設備前端模組 3:晶圓傳送裝置 4:末端執行器 5:殼體 6:內部空間 7:氣流單元 8:氣體過濾器 9:風扇 10:前開式晶圓傳送盒 11:裝載埠 14:出入開口 15:氣體入口管線 16:氣體出口管線 20:氣流裝置 22:低濕度氣體 24:箭頭 30:進氣層 32:氣體噴嘴 34:飽和壓力層 36:O形環 38:連接層 40:均勻層 42:導流層 50:進氣表面 52:出氣表面 54:進氣邊緣 60、60c:孔 70:褶結構 80、82、84、86、88:操作 H P:褶結構高度 P P:褶結構間距 T:厚度 W:半導體晶圓 W1、W2、Wn:間隔 h1、h2、hn:孔直徑
結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並未按比例繪製。事實上,爲使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1示意性地示出晶圓傳送裝置,包括接收晶圓搬運器的裝載埠、設備前端模組(EFEM)和設置在EFEM內部的氣流裝置。 圖2示意性地示出圖1中指示的V-V視圖,示出了從EFEM內部看到的裝載埠和晶圓搬運器。 圖3示意性地示出氣流裝置組的透視圖。 圖4示意性地示出圖3的氣流裝置的分解透視圖。 圖5和圖6示意性地示出透視圖,其示出圖3和圖4的氣流裝置的飽和壓力層的頂面(圖5)和底面(圖6)。 圖7示意性地示出飽和壓力層的頂面的俯視圖,以及指示沿孔直線的孔直徑h1、h2、...、hn和孔間隔W1、W2、...、Wn的放大部分視圖。 圖8示意性地示出均勻層(例如過濾層)的透視圖和圖3和圖4的氣流裝置的導流層。 圖9示意性地示出實施為褶型過濾層的均勻層的透視圖,以及示出褶型過濾層中的幾個褶結構的放大部分視圖。 圖10示意性地示出使用設置在EFEM的殼體內部的氣流裝置的晶圓傳送方法。
20:氣流裝置
30:進氣層
32:氣體噴嘴
34:飽和壓力層
36:O形環
38:連接層
40:均勻層
42:導流層
50:進氣表面
54:進氣邊緣
60:孔

Claims (20)

  1. 一種氣流裝置,包括: 至少一飽和壓力層,所述飽和壓力層具有進氣表面、出氣表面和孔,所述孔從所述進氣表面到所述出氣表面穿過所述飽和壓力層; 一或多個氣體噴嘴,所述氣體噴嘴設置為使氣體從所述飽和壓力層的進氣邊緣流過所述飽和壓力層的所述進氣表面; 進氣層,位於所述飽和壓力層的所述進氣表面處;和 至少一均勻層,位於所述飽和壓力層的所述出氣表面處; 其中滿足以下至少一者:(i)穿過所述飽和壓力層的所述孔的尺寸隨著與所述飽和壓力層的所述進氣邊緣距離的增加而增加;和/或(ii)穿過所述飽和壓力層的所述孔的密度隨著與所述飽和壓力層的所述進氣邊緣距離的增加而增加。
  2. 如請求項1所述的氣流裝置,其中穿過所述飽和壓力層的所述孔的所述尺寸隨著與所述飽和壓力層的所述進氣邊緣的距離增加而增加。
  3. 如請求項2所述的氣流裝置,其中穿過所述飽和壓力層的所述孔設置為相互平行的孔直線,所述孔直線也平行於所述飽和壓力層的所述進氣側,且每個孔直線的所述孔具有隨著所述孔直線遠離所述進氣側的距離增加而增加的孔尺寸。
  4. 如請求項1所述的氣流裝置,其中穿過所述飽和壓力層的所述孔的所述密度隨著與所述飽和壓力層的所述進氣邊緣的距離增加而增加。
  5. 如請求項4所述的氣流裝置,其中穿過所述飽和壓力層的所述孔設置為相互平行的孔直線,所述孔直線也與所述飽和壓力層的所述進氣側平行,並且每一所述孔直線中的所述孔具有隨著所述孔直線遠離所述進氣側的距離增加而增加的孔尺寸。
  6. 如請求項4所述的氣流裝置,其中穿過所述飽和壓力層的所述孔設置為相互平行的孔直線,所述孔直線也平行於所述飽和壓力層的所述進氣側,且每一所述孔直線的所述孔具有隨著所述孔直線遠離所述進氣側的距離增加而減小的沿所述孔直線的孔間隔。
  7. 如請求項1所述的氣流裝置,其中所述均勻層包括褶型過濾層。
  8. 如請求項7所述的氣流裝置,其中所述褶型過濾層的褶結構具有2mm至40mm之間的高度和0.1mm至2mm之間的間距。
  9. 如請求項1所述的氣流裝置,還包括導流層,所述至少一均勻層的至少一部分設置在所述導流層中,所述導流層具有至少3毫米的厚度。
  10. 如請求項1所述的氣流裝置,還包括至少一O形環及至少一連接層中的至少一個,其設置在所述飽和壓力層的所述出氣表面處且在所述出氣表面和所述至少一均勻層之間提供密封連接。
  11. 一種晶圓傳送裝置,包括: 裝載埠,配置為接收相關聯的晶圓搬運器; 設備前端模組(EFEM),具有殼體且被配置為將半導體晶圓傳送到所述相關聯的晶圓搬運器和從所述相關聯的晶圓搬運器傳送,通過所述設備前端模組的所述殼體的出入開口在所述裝載埠處接收所述相關聯的晶圓搬運器,且被配置為將所述半導體晶圓傳送到相關聯的半導體處理或特性化工具從所述相關聯的半導體處理或特性化工具傳送;以及 氣流裝置,設置在所述設備前端模組的所述殼體內部並連接以接收具有10%或更低的相對濕度的低濕度氣體,且定位以使所接收的所述低濕度氣體穿過所述設備前端模組的所述殼體的所述出入開口流動。
  12. 如請求項11所述的晶圓傳送裝置,其中所述氣流裝置包括: 氣室腔室,包括形成所述氣室腔室的壁的飽和壓力層,所述飽和壓力層具有形成所述氣室腔室的內表面的進氣表面和形成所述氣室腔室的外表面的出氣表面, 其中所述氣室腔室連接以將所述低濕度氣體在所述飽和壓力層的進氣邊緣處接收到所述氣室腔室,並且所述飽和壓力層對所述低濕度氣體的穿透性隨著與所述進氣邊緣的距離增加而增加。
  13. 如請求項12所述的晶圓傳送裝置,其中所述飽和壓力層具有從所述進氣表面到所述出氣表面穿過所述飽和壓力層的孔,並且隨著與所述進氣邊緣的距離增加而增加的對所述低濕度氣體的所述穿透性由以下至少之一提供:(i)穿過所述飽和壓力層的所述孔的尺寸隨著與所述飽和壓力層的所述進氣邊緣的距離增加而增加和/或(ii)穿過所述飽和壓力層的所述孔的密度隨著與所述飽和壓力層的所述進氣邊緣的距離增加而增加。
  14. 如請求項12所述的晶圓傳送裝置,其中所述氣流裝置進一步包括: 過濾層,設置以在所述飽和壓力層的所述出氣表面處接收離開所述氣室腔室的所述低濕度氣體。
  15. 如請求項11所述的晶圓傳送裝置,其中所述裝載埠被配置為接收所述相關聯的晶圓搬運器,所述相關聯的晶圓搬運器包括前開式晶圓傳送盒(FOUP),並且所述設備前端模組的所述殼體的所述出入開口被配置為與所述前開式晶圓傳送盒的前部開口配合。
  16. 如請求項15所述的晶圓傳送裝置,其中: 所述設備前端模組的所述殼體的所述出入開口穿過所述設備前端模組的所述殼體的垂直壁,且 所述氣流裝置安裝在所述設備前端模組的所述殼體內位於所述垂直壁上所述出入開口上方,且定向以使所接收的所述低濕度氣體向下流動穿過所述設備前端模組的所述殼體的所述出入開口。
  17. 如請求項11所述的晶圓傳送裝置,其中所述設備前端模組包括具有氣體過濾器的氣流單元,來自所述設備前端模組的所述殼體外部的空氣通過所述氣體過濾器向下流動到所述設備前端模組的所述殼體的內部。
  18. 一種晶圓傳送方法,包括: 使用設備前端模組(EFEM)將至少一半導體晶圓從晶圓搬運器轉移到工具; 使用所述工具處理或特性化所述至少一半導體晶圓後,使用所述設備前端模組將所述至少一半導體晶圓從所述工具轉移到所述晶圓搬運器;和 至少在所述轉移操作期間操作氣流裝置,所述氣流裝置設置在所述設備前端模組的殼體內且連接以接收具有10%或更低的相對濕度的低濕度氣體,以使所接收的所述低濕度氣體穿過所述設備前端模組的所述殼體的出入開口,所述至少一半導體晶圓穿過所述出入開口被轉移。
  19. 如請求項18所述的晶圓傳送方法,其中所述氣流裝置包括: 氣室腔室,包括形成所述氣室腔室的壁的透氣飽和壓力層,所述透氣飽和壓力層具有形成所述氣室腔室的內表面的進氣表面和形成所述氣室腔室的外表面的出氣表面; 進氣噴嘴,設置以在所述透氣飽和壓力層的進氣邊緣處將所述低濕度氣體流入所述氣室腔室;和 過濾層,設置為接收在所述透氣飽和壓力層的所述出氣表面處離開所述氣室腔室的所述低濕度氣體; 其中所述透氣飽和壓力層具有隨著與所述進氣邊緣的距離增加而增加的對所述低濕度氣體的穿透性。
  20. 如請求項19所述的晶圓傳送方法,其中所述透氣飽和壓力層具有從所述進氣表面穿到所述出氣表面的孔,且隨著與所述進氣邊緣的距離增加而增加的對所述低濕度氣體的所述穿透性由以下至少之一提供:(i)所述孔的尺寸隨著與所述進氣邊緣的距離增加而增加和/或(ii)所述孔的密度隨著與所述進氣邊緣的距離增加而增加。
TW111136061A 2021-12-23 2022-09-23 氣流裝置與晶圓傳送裝置及方法 TW202326904A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202163293465P 2021-12-23 2021-12-23
US63/293,465 2021-12-23
US202263299574P 2022-01-14 2022-01-14
US63/299,574 2022-01-14
US17/679,223 US20230207359A1 (en) 2021-12-23 2022-02-24 Humidity control device for equipment front end module of semiconductor processing or characterization tool
US17/679,223 2022-02-24

Publications (1)

Publication Number Publication Date
TW202326904A true TW202326904A (zh) 2023-07-01

Family

ID=85769444

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111136061A TW202326904A (zh) 2021-12-23 2022-09-23 氣流裝置與晶圓傳送裝置及方法

Country Status (5)

Country Link
US (1) US20230207359A1 (zh)
KR (1) KR20230096802A (zh)
CN (1) CN115881580A (zh)
DE (1) DE102022105133B4 (zh)
TW (1) TW202326904A (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3722604B2 (ja) 1997-11-13 2005-11-30 大日本スクリーン製造株式会社 基板処理装置
KR102143208B1 (ko) 2018-09-13 2020-08-12 주식회사 케이씨티 에어 커튼 유닛을 구비하는 lpm 및 lpp 시스템

Also Published As

Publication number Publication date
KR20230096802A (ko) 2023-06-30
DE102022105133B4 (de) 2023-08-10
DE102022105133A1 (de) 2023-06-29
US20230207359A1 (en) 2023-06-29
CN115881580A (zh) 2023-03-31

Similar Documents

Publication Publication Date Title
JP7008834B2 (ja) ファクトリインターフェースチャンバのフィルタパージを用いた基板処理装置及び方法
KR102535776B1 (ko) 높은 유속, 가스-퍼지, 측면 저장 포드 장치, 어셈블리들, 및 방법들
US11640915B2 (en) Side storage pods, equipment front end modules, and methods for operating EFEMs
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
US11749537B2 (en) Side storage pods, equipment front end modules, and methods for operating equipment front end modules
KR19990023508A (ko) 처리장치 및 처리장치내의 기체의 제어방법
KR20020047196A (ko) 제어된 소규모주변부를 갖춘 웨이퍼의 대기중이송모듈
US20120083120A1 (en) Substrate processing apparatus and method of manufacturing a semiconductor device
TWI797944B (zh) 用於調整氣流的製程裝置和製程方法
US10903065B2 (en) Halogen removal module and associated systems and methods
US20230337365A1 (en) Oxygen and humidity control in storage device
KR20210093911A (ko) 웨이퍼 스토커
US7553516B2 (en) System and method of reducing particle contamination of semiconductor substrates
KR102146517B1 (ko) 웨이퍼 용기로의 외기 유입을 차단하는 외기 차단 장치 및 이를 포함하는 반도체 장치
TW202326904A (zh) 氣流裝置與晶圓傳送裝置及方法
KR102047894B1 (ko) 버퍼 유닛 및 이를 가지는 기판 처리 장치
KR102226506B1 (ko) 반송실 내의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
TW202249161A (zh) 介面工具
KR20210023653A (ko) 로드포트모듈의 웨이퍼 용기의 습도저감장치 및 이를 구비한 반도체 공정장치
US11735455B2 (en) Systems, devices, and methods for air flow optimization including adjacent a FOUP
TWI847230B (zh) 側面儲存盒、電子元件處理系統、及用於操作efem的方法
US12051609B2 (en) Systems and methods for humidity control of FOUP during semiconductor fabrication
KR102442234B1 (ko) 기류 균일화 장치를 구비한 efem
US20230154778A1 (en) Systems and methods for humidity control of foup during semiconductor fabrication
KR20240044113A (ko) 버퍼 챔버 노즐 장치 및 이를 구비한 반도체 공정장치