TW202249117A - 金屬氧化物的原子層蝕刻 - Google Patents

金屬氧化物的原子層蝕刻 Download PDF

Info

Publication number
TW202249117A
TW202249117A TW111108146A TW111108146A TW202249117A TW 202249117 A TW202249117 A TW 202249117A TW 111108146 A TW111108146 A TW 111108146A TW 111108146 A TW111108146 A TW 111108146A TW 202249117 A TW202249117 A TW 202249117A
Authority
TW
Taiwan
Prior art keywords
ligand
reagent
substrate
metal oxide
processing method
Prior art date
Application number
TW111108146A
Other languages
English (en)
Inventor
羅伯特 克拉克
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202249117A publication Critical patent/TW202249117A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一範例中,一種處理基板的方法包括將基板裝載到製程腔室中,其中基板包括待蝕刻的含金屬氧化物膜。該方法還包括執行包括複數循環製程的原子層蝕刻,該複數循環製程的每一者包括將含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流,以及將含金屬氧化物膜曝露於第二氣體流,該第二氣體流包括硼烷、胺、醇、羧酸、羧醯胺、或β-二酮試劑。

Description

金屬氧化物的原子層蝕刻
本發明概括的關於半導體製造,且在特定實施例中,關於金屬氧化物的原子層蝕刻方法。
[相關申請案之交互參照] 本申請案主張2021年3月8日申請的美國非臨時申請案第17/194,421號的權益,其整體內容併入於此,以供參考。
積體電路(IC, integrated circuit)是一組電子電路,其包括半導體材料之小晶片上的例如電晶體、電阻和電容的元件。IC實質上存在於全部的現代電子產品中,且在其商業製造製程中,IC裝置的製造技術至關重要。蝕刻(一種移除薄膜或基板之一些部分的製程)是如此之半導體裝置製造中的關鍵製程之一。在現代半導體產業中,蝕刻通常係藉由使用液體蝕刻劑的濕式蝕刻、或例如反應性離子蝕刻的乾式蝕刻來執行。
由於IC的關鍵尺寸和特徵部尺寸已縮小為10nm以下,半導體產業需在蝕刻製程期間增加尺寸的精度(尺寸例如為線寬、蝕刻深度、和膜厚度)。例如,可能需要低於0.5nm的原子級IC控制能力,這利用習知的蝕刻技術係難以實現的。因此,非常需要符合這些要求的替代的蝕刻技術。
原子層刻蝕(ALE)是目前正在開發中的一種可提供如此之原子級控制的新技術。ALE使用序列式自限制半反應來從表面移除薄膜或基板材料,以達成對刻蝕深度的精確控制。通常的ALE製程由兩個自限制半反應的一或更多循環組成:(1)藉由吸附前驅物蒸汽來進行表面調整,以形成作為中間體的薄層,以及(2)移除所形成覆層的至少一部分,作為氣態產物。換句話說,ALE製程以逐層的方式使覆層中的固體材料進行化學轉換,以產生具有較高揮發性的產物,其可以氣相移除。這些反應被認為是「自限制」,這是因為表面反應係藉由以下方式而被限制於中等覆層厚度:擴散、新反應位點的稀疏性、經調整表面材料的量、或一些其他方式;並且藉此,當可存取的反應性表面物質被耗盡、被改變、或被移除時,反應停止。
利用其原子級控制能力,ALE技術在微電子、光電子、微機電系統(MEMS, micro-electro-mechanical system)、及其他半導體裝置等應用方面提供大的潛力。然而,與習知蝕刻技術和原子層沉積技術相比,ALE仍處於早期發展階段。需要進一步開發每一製程步驟的有效ALE前驅物和表面化學,同時更好的理解反應機制。此外,習知的ALE製程具有低蝕刻速率、長循環時間、低產量、及較高的成本,這阻礙了產業應用的使用。
一種基板處理方法包括將基板裝載於製程腔室中,其中基板包括待蝕刻的含金屬氧化物膜。方法更包括執行包括複數循環製程的原子層蝕刻,該複數循環製程的每一者包括將含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流,以及將含金屬氧化物膜曝露於包括硼烷試劑或胺試劑的第二氣體流。
一種原子層蝕刻(ALE)方法包括將基板裝載於製程腔室中,該基板包括待蝕刻的含金屬氧化物膜。方法還包括將基板曝露於包括氣相的三氯化硼(BCl 3)的第一氣體流,以及將基板曝露於包括醇、羧酸、羧醯胺、或β-二酮試劑的第二氣體流。
一種基板處理方法包括將基板裝載於製程腔室中,該基板包括待蝕刻的含金屬氧化物膜。方法還包括執行包括複數自限制循環製程的序列蝕刻製程,該複數自限制循環製程的每一者包括將含金屬氧化物膜的一層轉換為包括氯的金屬混合陰離子化合物,以及將金屬混合陰離子化合物從含金屬氧化物膜的其他部分釋放,以移除含金屬氧化物膜的該層,釋放包括將金屬混合陰離子化合物曝露於第一氣體流,該第一氣體流包括鋁氫化物與含氮加成物配位體的加成物、硼烷試劑、胺試劑、β-二酮試劑、醇、或羧酸。
在每一接替的技術節點上,半導體產業已改善IC元件的封裝密度。在如此的改善之後,迫切需要在蝕刻製程中進行精確的原子級控制。原子層蝕刻(ALE)是一種有前景的候選方案,其提供次奈米以下的精確原子控制。ALE是一種膜層蝕刻技術,其由序列式自限制反應組成。第一步驟藉由吸附前驅物蒸氣對表面進行調整,以形成薄層。第二步驟是移除所形成覆層的至少一部分。ALE的概念類似於原子層沉積(ALD)。差別在於,在ALE的第二步驟中,材料被移除,而不是像ALD中被增加。每一步驟都是自限制的,且藉由ALE製程的一個循環,僅移除一薄層。為達成所需的蝕刻量,通常重複步驟,且因此ALE製程通常是指這些步驟的序列循環。
有不同的方法來執行這些步驟。例如,這些步驟的一者或兩者可使用電漿處理(電漿ALE)來執行,以實質上激發與表面相互作用的顆粒。藉由使用受激發的顆粒,電漿ALE通常引發濺射。電漿ALE中的如此之濺射能夠達成各向異性蝕刻,這是電漿ALE的主要優點。當任一步驟皆不使用電漿時,稱為熱ALE。熱ALE的特點是其為保形的、及各向同性蝕刻、以及對下方膜層幾乎沒有損壞。
本揭露內容中描述的實施例提供各向同性的熱ALE製程,其用於包括金屬氧化物的基板。然而,在某些實施例中,也可使用電漿來提高ALE製程效率。
ALE已在研究中被證明用於蝕刻不同的材料,例如Si、W、金屬氧化物(例如Al 2O 3、HfO 2、ZrO 2、ZnO、TiO 2、SiO 2和WO 3)、金屬氮化物(例如Si 3N 4、GaN、TiN、AlN)、和金屬氟化物(例如AlF 3)。本申請的發明人已確認這些先前技術是基於氟化化學(fluorination chemistry)。HF已主要地用於已知的ALE技術,而其他試劑如SF 4、F 2、WF 6和XeF 2已被提出作為替代的、更強的氟化試劑。在這些先前技術中,在第一步驟中,金屬物質首先被氟化以形成金屬氟化物等(例如,氟氧化物),然後其在基於配位體交換反應的ALE製程的第二步驟中被移除。然而,多數金屬氟化物可具有相對低的揮發性,且從而導致緩慢的蝕刻速率。此外,這導致在製程期間必須使用更高的溫度和含氣態氟化物的物質,這通常有害於或損壞被曝露的其他材料。
因此,現有的使用氟化化學的ALE技術對於金屬氧化物的選擇性蝕刻可能並非期望,特別的,相較於矽而言,非矽材料與氟具有較低的反應性。此外,在半導體產業中,新的互連和接觸架構可能引入對氟基化學物質敏感的膜層。本申請的實施例描述不依賴於氟化且克服上述問題的ALE技術。
此外,越來越多的新製程被引入到製造流程中,其可能需要蝕刻諸多的非矽金屬氧化物。例如,可能需要精確蝕刻具有金屬氧化物(例如鋁氧化物、鉿氧化物、和鋯氧化物)的高k值介電材料。
本文所述的諸多實施例可用於製造自對準接觸部(SAC, self-aligned contact)、極薄閘極介電質、極薄通道、新型場效應電晶體(FET)架構(例如,鰭式場效應電晶體(FinFET)和環繞式閘極電晶體),其需要近乎原子級的蝕刻控制和選擇性。
更特別地,本揭露內容的實施例描述基於氯化而非氟化的原子層蝕刻製程。在諸多實施例中,BCl 3用作前驅物,其藉由將氧從金屬氧化物部分移除至氣相而將基板表面上的金屬氧化物層轉換為氯氧化物層。在之後的移除步驟中,氯氧化物層曝露於配位體交換試劑,且至少一部分的氯氧化物層被轉換為逸出至氣相的揮發性產物。
本揭露內容諸多實施例中所述的基於氯化的ALE方法可有利於提供目標材料之較高的蝕刻速率,同時防止其他材料的非期望蝕刻。例如,ALE方法的實施例可用於矽上鋁氧化物的選擇性蝕刻,其中在不損壞矽表面的情況下移除鋁氧化物。
此外,本揭露內容諸多實施例中所述的ALE方法敘述使用諸多的配位體交換試劑,其不同於習知試劑(例如,乙醯丙酮化錫(II)(Sn(acac) 2)及三甲基鋁(TMA))、且通常比習知試劑更易揮發。在下文中進一步更詳細描述的配位體交換試劑可包括硼烷試劑、胺試劑、醇試劑、羧酸、羧醯胺、β-二酮、或鋁氫化物與含氮加成物配位體的加成物。
在諸多實施例中,該方法包括一組的、或循環的處理步驟,其包括表面調整步驟和表面移除步驟。表面調整步驟包括將含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流。表面移除步驟包括將含金屬氧化物膜曝露於包括配位體交換試劑的第二氣體流。雖然BCl 3是表面調整步驟中的主要試劑,但根據本揭露內容的諸多實施例,可使用諸多配位體交換試劑。以下將在諸多實施例中更詳細地描述本揭露內容之方法和系統的範例。
以下,首先在圖1A~1C中根據本揭露內容實施例描述ALE製程,之後在圖2~4中描述具有不同配位體交換試劑的實施例的諸多範例的說明。然後在圖5中描述ALE製程的一般性實施例製程流程。進一步的,ALE處理工具的實施例將在圖6和圖7中進行描述。
圖1A~1C根據本揭露內容實施例顯示處於原子層蝕刻(ALE)製程期間之不同階段的含金屬氧化物基板的剖面圖。
圖1A顯示ALE製程之前的基板102。基板102可包括主體矽晶圓、矽絕緣體(SOI, silicon on insulator)晶圓、碳化矽和其他基板材料,且可包括用於諸多奈米裝置的半導體層,包括磊晶矽、矽鍺、矽碳、氮化鎵、砷化鎵、磷化銦、磷化鎵、銻化銦等。在此階段,在某些實施例中,基板102可能已進行半導體製造的諸多步驟,包括形成主動區和隔離區。
如圖1A進一步所示,基板102包括待蝕刻的含金屬氧化物膜100。在諸多實施例中,含金屬氧化物膜100可為包括金屬氧化物的任何膜層。在諸多實施例中,含金屬氧化物膜100可包括鋁氧化物、鈦氧化物、鉿氧化物、鋯氧化物、釔氧化物、鑭氧化物、镥氧化物、鉭氧化物、銅氧化物、釕氧化物、鈷氧化物、鈮氧化物、鎢氧化物、鉬氧化物、鈧氧化物及其組合。
在諸多實施例中,含金屬氧化物膜100可突出到基板102的主表面之外或與基板102的主表面共面。在諸多實施例中,含金屬氧化物膜100可具有約1nm至10nm的厚度,且在不同的實施例中為10nm至100nm。在諸多實施例中,含金屬氧化物膜100可實質上覆蓋基板102的主表面的全部。在某些實施例中,含金屬氧化物膜100可被圖案化且可覆蓋基板102之主表面的一部分。在如此的實施例中,圖案化的含金屬氧化物膜100可包括由基板102的部分隔開的諸多島區域。
在循環蝕刻製程開始之前,可藉由預清潔處理來準備表面,以從表面移除碳氫化合物和其他顆粒或污染物,例如金屬或鹽雜質。例如,該製程可包括基於濕化學的技術,其將基板曝露於去離子水,然後曝露於包括化學物的不同溶液,例如,鹽酸、過氧化氫、氨水、和食人魚溶液(Piranha solution)。徹底沖洗這些化學物,且可藉由諸多程式來乾燥基板。儘管進行預清潔處理,但一般的,在金屬氧化物的處理表面上可能存在羥基團。
進一步的,在某些實施例中,製程腔室亦可被吹淨或排空,以移除可能污染被清潔表面或可能消耗被引入之反應物的污染物或其他材料。
在諸多實施例中,可加熱基板102以使含金屬氧化物膜100達到適合蝕刻的溫度。可使用比例-積分-微分(PID,proportional–integral–derivative)控制器對溫度進行電阻控制。在某些實施例中,在ALE製程的第一步驟之前,可藉由使惰性載體氣體流動30分鐘或更久來達成熱平衡,惰性載體氣體例如氦(He)、氬(Ar)、和氮(N 2)。在諸多實施例中,峰值加熱溫度可在25°C和600°C之間。在某些實施例中,峰值加熱溫度可在100°C和400°C之間,或在100°C和250°C之間。
在某些實施例中,ALE製程的各個步驟可以在減壓下進行。換言之,製程腔室可維持在減壓下,例如,壓力可保持在10Torr和40Torr之間。在進一步的範例中,製程腔室內的壓力可在0.1Torr和20Torr之間,或在10 -5Torr和1Torr之間。
圖1B和1C顯示ALE製程的步驟。特別地,圖1B顯示ALE製程的第一步驟之後的基板102,且圖1C顯示ALE製程的第二步驟之後的基板102。
參考圖1B,在第一步驟中,基板100首先曝露於含BCl 3蒸氣104的氣體流。該第一步驟亦可稱為表面調整步驟。在某些實施例中,氣體流可進一步包括惰性載體氣體,例如任意比例的氦 (He)、氬(Ar)、和氮(N 2)。在諸多實施例中,首先可用惰性氣體流填充腔室,以及然後可以脈衝方式序列的供應含BCl 3的試劑到惰性載體氣體流。在一實施例中,試劑和惰性氣體的脈衝序列可為約1:1至約1:5的比例,例如,在一實施例中為1:3,其中含BCl 3的試劑係在每3秒之後脈衝1秒,從而為1:3的比例。在某些實施例中,在一個脈衝或步驟中曝露於含BCl 3試劑的持續時間可在約0.1和600秒之間。在某些實施例中,氣體流可進一步包括以任何比例混合在氣體流中的氧化性氣體,例如氧和臭氧。如此的添加在蝕刻非氧化物材料(例如,W)的情形中可為有利的,其中目標材料可被部分氧化成氧化物,其在第二步驟中比初始狀態更容易被蝕刻。在其他實施例中,單獨的氧化步驟包括將基板曝露於包括氧化性氣體的氣體流,該步驟可作為在曝露於第一氣體流之前的預處理步驟來執行。
對含BCl 3的蒸汽104的曝露在表面上引起氯化反應,且在表面上形成金屬氯氧化物薄層108及包括氯氧化硼的揮發性副產物106。同時或在後續處理期間,從表面移除包括氯氧化硼的揮發性副產物106,例如,在之後的吹淨/排空步驟期間,或在如下所述可選的電漿處理步驟期間進行移除。不意圖在任何意義上進行限制,該圖示描述實施例的範例,其中藉由曝露104引入的氣體選擇性地與含金屬氧化物膜100進行反應,但不與基板102的非金屬氧化物部分進行反應。
在某些實施例中,在曝露於包括BCl 3的蒸氣104之後,用以固持基板102的製程腔室可利用惰性氣體加以吹淨。在吹淨製程中,基板102可曝露於惰性氣體流,例如氦(He)、氬(Ar)、或氮(N 2),以移除任何包括BCl 3的蒸氣104。在一些實施例中,製程腔室可被排空而不是吹淨。仍在某些實施例中,可執行吹淨和排空二者。這防止氯化製程的重新啟動,直到ALE製程的下一循環。此外,吹淨/排空製程可移除揮發性副產物106,包括氯氧化硼。在某些實施例中,吹淨/排空製程的持續時間可在0.5秒和300秒之間。
在某些實施例中,作為ALE製程的一部分,可在曝露於含BCl 3的蒸氣104之後使用電漿進行可選處理,以藉由離子轟擊使表面物質從基板解離。電漿處理包括將基板曝露於含電漿的氣體,其可包括氦(He)、氬(Ar)、或氮(N 2)的離子化惰性氣體分子。可在電漿處理步驟期間加熱或冷卻系統。電漿處理亦可在減壓下進行,其中壓力可保持在10Torr和40Torr之間、0.1Torr和20Torr之間、或10 -5Torr和1Torr之間。
接下來,圖1C顯示移除步驟期間的表面,在該步驟期間,基板102曝露於包括試劑以誘發配位體交換反應的氣體流110。在某些實施例中,氣體流可以進一步包括惰性載體氣體,例如任意比例的氦(He)、氬(Ar)、和氮(N 2)。在諸多實施例中,腔室可首先用惰性氣體流填充,以及然後用於配位體交換反應的試劑可以脈衝方式序列地供應到惰性載體流。在一實施例中,脈衝序列可為1/3秒的比率,其中試劑在每3秒後脈衝1秒。在某些實施例中,一脈衝或步驟中,曝露於試劑的持續時間可在0.1和600秒之間。
在某些實施例中,基板102在曝露於包括試劑的氣體流110的同時被加熱。這可為先前加熱製程的延續。在某些實施例中,可例如從燈提供目標加熱脈衝。執行此動作,以控制製程溫度,這將控制反應速率且因此控制蝕刻製程的效率。在諸多實施例中,基板102處的溫度可設目標為25°C和600°C之間,且在某些實施例中,100°C和400°C之間,或150°C和300°C之間。
在某些實施例中,曝露於包括試劑的氣體流110可在減壓下進行。換言之,製程腔室可維持在減壓下,例如,壓力可保持在10Torr和40Torr之間,且在某些實施例中,0.1Torr和20Torr之間,或10 -5Torr和1Torr之間。
移除步驟中的配位體交換反應將在表面調整步驟期間形成的金屬氯氧化物層108的至少一部分轉換為較易揮發的產物112。由此形成的揮發性產物112可從表面脫附。在諸多實施例中,揮發性產物可在移除步驟期間、之後的吹淨/排空步驟期間、或後述可選的電漿處理步驟期間移除。不意圖在任何意義上進行限制,圖示描述實施例的範例,其中藉由曝露於試劑110而引入的氣體選擇性地與含金屬氯氧化物層108進行反應,但不與含金屬氧化物膜100或基板102的非金屬氧化物部分進行反應。
在曝露於蒸氣110之後,用以固持基板102的製程腔室可利用惰性氣體加以吹淨。在吹淨製程中,基板102可曝露於惰性氣體流,例如氦(He)、氬(Ar)、或氮(N 2)。在一些實施例中,製程腔室可被排空而不是吹淨。仍在某些實施例中,可一起的或序列的執行吹淨和排空二者。該吹淨/排空製程可移除任何剩下的蒸汽110及揮發性產物112。
在某些實施例中,作為ALE製程的一部分,可在將基板102曝露於蒸汽110之後執行使用電漿的可選處理。這可藉由離子轟擊使表面物質從基板解離。電漿處理包括將基板曝露於含電漿的氣體,其可包括氦(He)、氬(Ar)、或氮(N 2)的離子化惰性氣體分子。可在電漿處理步驟期間加熱或冷卻系統。電漿處理亦可在減壓下進行,其中壓力可保持在10Torr和40Torr之間、0.1Torr和20Torr之間、或10 -5Torr和1Torr之間。
當含金屬氧氯化物層108被移除時,新的含金屬氧化物膜100曝露在表面上,其係準備好用於下一蝕刻循環。因此,可執行如上所述之表面調整和移除步驟的連續循環,以用於材料的進一步蝕刻。原則上,儘管不希望受限制於任何的理論,但每一步驟預期為自限制的,且每一循環僅移除單層或幾層材料,從而提供能力來達成原子級蝕刻控制。可重複序列循環,直到移除含金屬氧化物膜100的目標厚度。換言之,圖1B和1C的步驟可重複複數循環。
在某些實施例中,ALE製程的步驟的序列可在一腔室中的同一區域中時間上隔開,或在同一腔室的不同區域中空間上隔開。達成這些隔開的不同方法的實施例系統將在後面的部分中進一步描述。
諸多不含氟的配位體交換試劑可用於移除步驟。在某些實施例中,配位體交換試劑是含硼試劑。在一些其他的實施例中,配位體交換試劑是含氮試劑。仍在一些實施例中,配位體交換試劑是醇、羧酸、羧醯胺、或β-二酮。仍在一些實施例中,配位體交換試劑是鋁氫化物與含氮加成物配位體的加成物。具有不同配位體交換試劑的範例的細節在後面的部分中進一步描述。
在某些實施例中,配位體交換試劑是硼烷試劑。硼烷試劑包括第一配位體、第二配位體、和第三配位體,第一配位體為氫配位體、烷基配位體、或二烷基醯胺配位體,第二配位體為氫配位體、烷基配位體、或二烷基醯胺配位體,且第三配位體是氫配位體、烷基配位體、或二烷基醯胺配位體。換言之,硼烷試劑可具有通式BL 1L 2L 3,其中Lx(x=1,2,或3)為氫配位體、烷基配位體、或二烷基醯胺配位體。在本揭露內容中,二烷基醯胺配位體定義為具有通式NR 1R 2的配位體,其中R x(x=1或2)是烷基配位體。若L 1、L 2和L 3彼此相等,則硼烷試劑是均配位體(即全部配位體相同),且如此之均配位體試劑的範例是硼烷(BH 3)、三烷基硼烷(例如,三甲基硼烷和三乙基硼烷)、和三(氨基)硼烷絡合物(例如,三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、和三(二乙基氨基)硼烷)。硼烷試劑可為具有不同於另一者的至少一配位體的雜配位體(heteroleptic)。如此之雜配位體試劑的範例是乙基(甲基)硼烷、乙基(二甲基)硼烷、和乙基(異丙基)甲基硼烷。
在某些實施例中,配位體交換試劑是硼烷試劑與含氮加成物配位體的加成物。除加成物配位體外,硼烷試劑還包括第一配位體、第二配位體、和第三配位體,第一配位體為氫配位體、烷基配位體、或二烷基醯胺配位體,第二配位體為氫配位體、烷基配位體、或二烷基醯胺配位體,且第三配位體為氫配位體、烷基配位體、或二烷基醯胺配位體。換言之,硼烷試劑可具有通式ABL 1L 2L 3,其中A為中性含N加成物配位體(例如,三甲胺、氨、吡啶),且Lx(x=1、2、或3)為氫配位體、烷基配位體、或二烷基醯胺配位體。中性含N加成物配位體可促進從表面移除鹽酸,其可能形成為副產物。
在某些實施例中,圖1B~1C中描述的ALE的步驟可在時間上或空間上隔開。該隔開可藉由吹淨、排空、運輸基板、或其組合來達成。在某些實施例中,圖1B~1C中描述的ALE的步驟可僅部分隔開,且其可部分重疊。
圖2根據本揭露內容實施例顯示選擇性蝕刻鋁氧化物(Al 2O 3)的範例中表面化學成分的變化。根據一實施例,在表面調整步驟中使用包括BCl 3的氣體,且在移除步驟中使用包括均配硼烷試劑BL 3的氣體,其中L是烷基配位體或二烷基醯胺配位體。在該範例中,基板進一步包括矽(Si)晶圓,且Si晶圓的一部分也曝露在表面上,如圖2所示。在曝露於包括BCl 3的氣體時,氯化反應在Al 2O 3的表面層進行。該反應在表面上產生薄的鋁氯氧化物層AlO xCl y和含BO bCl a的揮發性副產物。矽晶圓保持實質上完整。
這裡應注意,實際製程中氯化的程度預計不同(即,AlO xCl y中的 0<x<1.5,0<y<3),這取決於許多因素,例如表面上的位置、表面上的雜質、製程參數、和試劑的反應性。因此,關於化學計量的討論僅為範例。
在之後的移除步驟中,在基板102曝露於包括BL 3的第二氣體時,進行配位體交換反應,其中AlO xCl y層被轉換為較易揮發的物質。鋁物質可表示為AlL 2xCl y,這假設覆層中的每一氧(O)(其為二價陰離子)可被兩個一價配位體(L)替換,且也沒有發生與氯的配位體交換。在製程期間可能發生的化學計量的範例在下文僅出於說明目的而呈現,且不意圖在任何意義上進行限制。在此範例中,x=0.5,y=2,a=b=1,且化學計量數顯示在等式中。與表面上任何殘留的表面OH基團進行反應,可能形成例如水和鹽酸的其他副產物。 (1) Al 2O 3+2BCl 3(g) Al 2OCl 4+2BOCl(g) (2) Al 2OCl 4+2BL 3(g) 2AlLCl 2(g)+2BO 0.5L 2(g) 整體上,(1)+(2):Al 2O 3+2BCl 3(g)+2BL 3(g) 2AlLCl 2(g)+2BOCl(g)+2BO 0.5L 2(g)
在某些實施例中,配位體交換試劑是胺試劑。試劑可具有通式NH 2R 1或NHR 1R 2,其中R x(x=1或2)為烷基。胺試劑的範例是甲胺(methylamine)、二甲胺(dimethylamine)、二乙胺(diethylamine)、乙基甲胺(ethylmethylamine)、和乙胺(ethylamine)。
圖3根據本揭露內容實施例顯示選擇性蝕刻鋁氧化物(Al 2O 3)的範例中的反應。根據一實施例,在表面調整步驟中使用包括BCl 3的氣體,且在移除步驟中使用包括胺試劑NHR 1R 2的氣體。在該範例中,基板進一步包括矽(Si)晶圓,且Si晶圓的一部分也曝露在表面上,如圖3所示。表面調整步驟以與圖2中範例相同的方式進行,以形成AlO xCl y層。在之後的移除步驟中,在基板曝露於包括NHR 1R 2的第二氣體時,進行配位體交換反應,其中AlO xCl y層被轉換為較易揮發的物質。有諸多配位體可能達成配位體交換,例如NR 1 2-、NR 2 2-、NH 2-、NR 1R 2 -、NHR 1 -和NHR 2 -。為了說明的目的,當假設僅NR 1R 2 -用於配位體交換時,鋁物質可表示為Al(NR 1R 2) 2xCl z。可能形成例如水和鹽酸的其他副產物。
在某些實施例中,配位體交換試劑是醇試劑。該試劑可具有通式ROH。醇試劑的範例是甲醇(methanol)、乙醇(ethanol)、叔丁醇(tert-butanol)、異丙醇(isopropanol)、三氟甲醇(trifluoromethanol)、全氟乙醇(perfluoro-ethanol)、全氟異丙醇(perfluoroisopropanol)、和全氟叔丁醇(perfluoro-tert-butanol)。醇試劑中的酸性OH基團可與氯化的金屬氧化物表面反應,以達成配位體交換。
圖4根據本揭露內容實施例顯示選擇性蝕刻鋁氧化物(Al 2O 3)的範例中的反應。根據一實施例,在表面調整步驟中使用包括BCl 3的氣體,且在移除步驟中使用包括醇試劑ROH的氣體。在該範例中,基板進一步包括矽(Si)晶圓,且Si晶圓的一部分也曝露在表面上,如圖4所示。表面調整步驟以與圖2中的範例相同的方式進行,以形成AlO xCl y層。在之後的移除步驟中,在基板曝露於包括ROH的第二氣體時,進行配位體交換反應,其中AlO xCl y層被轉換為較易揮發的物質。假設配位體OR -可用於交換,鋁物質可表示為Al(OR) 2xCl z。也可形成例如水和鹽酸的其他副產物。
在某些實施例中,配位體交換試劑是羧酸試劑。該試劑可具有通式RCOOH。羧酸試劑的範例是醋酸(acetic acid)、乙酸(ethanoic acid)、和三氟乙酸(trifluoroacetic acid)。與醇的實施例類似,羧酸試劑中的酸性OH基團可與氯化的金屬氧化物表面反應,以達成配位體交換。
在某些實施例中,配位體交換試劑是羧醯胺試劑。該試劑可具有通式R 1C(O)NR 2R 3,其中R x(x=1、2、或3)是氫或烷基。羧醯胺試劑的範例是乙醯胺(acetamide)和二甲基甲醯胺(dimethylformamide)。
在某些實施例中,配位體交換試劑是β-二酮試劑。該試劑可具有通式R 1C(O)CR 2R 3C(O)R 4,其中R 1是烷基,R 2是氫或烷基,R 3是氫或烷基,且R 4是烷基。 β二酮試劑的範例是乙醯丙酮(acetylacetone)和六氟乙醯丙酮(hexafluoroacetylacetone)。由於互變異構性(tautomerism),β-二酮可以酮(keto)和烯醇(enol)形式存在。 OH基團以β-二酮的烯醇形式存在。因此,類似於醇和羧酸的實施例,β-二酮試劑中的酸性OH基團可與氯化的金屬氧化物表面進行反應,以達成配位體交換。也可形成例如水和鹽酸的其他副產物。
在某些實施例中,配位體交換試劑是鋁氫化物與含氮加成物配位體的加成物。範例為(Me 3N)AlH 3
在某些實施例中,在使用氣體流的表面移除步驟期間,該氣體流包括胺試劑、醇試劑、羧酸試劑、羧醯胺試劑、β-二酮試劑、或鋁氫化物與含氮加成物配位體的加成物,可選的添加中性含氮試劑(例如,三甲胺、氨、和吡啶)至第二氣體,以促進從表面移除鹽酸,鹽酸可形成為副產物。或者,在某些實施例中,曝露於中性含氮試劑可在單獨的步驟中進行。含氮試劑可以固定的速率以脈衝方式加入到惰性氣體流中。
與使用含氟前驅物作為主要試劑的常用技術相比,在第一步驟中使用BCl 3可有利,在不希望受限制於任何理論的情況下,若干原因如下。首先,硼的親氧性可有助於與金屬氧化物的氧結合,這在其他試劑中可能是問題。其次,多數金屬氯化物傾向於比相應的氟化物更易揮發。因此,基於氯化的方法可實現金屬氧化物物質的更快的蝕刻速率。再者,含氟試劑與矽物質等可能具有高反應性。主要依賴於氟化的ALE技術在可能需要選擇性蝕刻材料且不嚴重損壞氟敏感材料(例如,矽物種)的複雜系統中可能有問題。本申請的實施例有利地使得能夠使用氟敏感材料,且不損壞這些材料或其表面。
圖5根據一實施例顯示用於處理基板的ALE製程流程圖500。在一實施例中,製程流程500包括將基板裝載到製程腔室中(框502),該基板包括待蝕刻的含金屬氧化物膜。例如,圖1A中描述基板102。
製程流程500進一步包括執行蝕刻製程,例如原子層蝕刻,其包括複數循環製程(框504)。複數循環製程的每一者包括將含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流(框506),以及將含金屬氧化物膜曝露於包括配位體交換試劑的第二氣體流(框510),例如相關於圖1B和1C所描述內容。在某些實施例中,第二氣體可包括硼烷或胺試劑(框510A),例如,分別如相關於圖2和圖3所描述內容。在一些實施例中,第二氣體可包括醇、羧酸、羧醯胺、或β-二酮試劑(框510B),例如相關於圖4所描述內容。仍在一些實施例中,第二氣體可包括鋁氫化物與含氮加成物配位體的加成物(框510C)。
在某些實施例中,複數循環製程的每一者進一步包括吹淨/排空步驟(框508A和512A)。在該步驟中,利用惰性氣體流進行吹淨、排空、或兩者。該步驟可在曝露於第一氣體(框506)之後、曝露於第二氣體(框510)之後、或兩者之後執行。
在某些實施例中,複數循環製程的每一者進一步包括將基板曝露於電漿的步驟(框508B和512B)。該電漿處理步驟可在第一步驟(框508A)中的吹淨/排空、第二步驟(框512A)中的吹淨/排空、或兩者之後進行。仍在一些實施例中,吹淨和電漿處理可在相同的製程條件下作為單一步驟一起執行(亦即,分別組合框508A和508B,以及框512A和512B)。
可重複複數的循環製程(框504),以達成期望的蝕刻位準,且可完成製程(框514)。複數循環製程的每一者的條件(包括但不限於溫度、壓力、曝露時間、試劑類型、和氣體組成)在每一步驟中可不同。
在某些實施例中,複數循環製程的每一者可從曝露於第二氣體(框510)或第二步驟中的吹淨/排空開始,而不是從曝露於第一氣體(框506)開始。
在某些實施例中,在曝露於第一氣體流(框506)之前,可執行單獨的氧化步驟(未示出)作為預處理步驟。該氧化步驟可包括將基板曝露於包括氧化性氣體的氣體流。在一些實施例中,氧化性氣體包括氧氣或臭氧。當蝕刻非氧化物材料(例如,W)時,該附加步驟可有利,其中目標元素可先在表面上被部分氧化成氧化物,其在第二步驟中比初始狀態更容易進行蝕刻。
圖6顯示ALE處理工具600,其包括連接到氣體輸送系統630和真空泵系統640的處理腔室620。氣體可藉由氣體輸送系統630被引入處理腔室620。氣體輸送系統630可具有允許引入氣體混合物的複數氣體入口,例如,圍繞處理腔室620呈對稱方式的複數氣體入口(然而,僅示意性顯示一入口)。
基板610(例如,待處理的半導體晶圓)可安裝在處理腔室620內的基板固持器650上。在一或更多實施例中,基板610包括一或更多金屬氧化物層。基板固持器650可為圓形靜電卡盤,且其可具有使基板610旋轉的能力。可選地,例如藉由耦合到基板固持器650的溫度控制器660,基板610可維持在期望的製程溫度。處理腔室620可包括其他設備和裝置,例如,可選的燈或加熱元件以加熱基板610。或者,一或更多氣體可在被引入處理腔室620時進行預加熱。
ALE處理工具可進一步包括石英晶體微量天平(QCM, quartz crystal microbalance)(未示出),用於在製程期間準確測定表面上的質量變化。
上述ALE處理系統100的配置僅作為範例。在某些實施例中,處理腔室620可配置成在單一操作中垂直或水平的容納及處理複數基板。在替代實施例中,可使用諸多替代配置,包括電漿處理設備,例如,電感耦合電漿(ICP, inductively coupled plasma)工具、電容耦合電漿(CCP, capacitively coupled plasma)。在執行ALE製程之沒有電漿的一些步驟中,可使用螺旋諧振器。
圖7根據一實施例顯示ALE處理之旋轉基座的示意圖,該旋轉基座在方法中可用於達成ALE步驟的空間分離。
基座具有複數台700,以固持複數基板。一或更多排出部710和氣體入口740分別位於台700之一些者之間及上方。如此之設計容許在同一腔室中有複數處理區域(例如,720和730)。在該等配置中,不同的處理步驟可在腔室的不同區域中實施,同時藉由利用排出部710進行排空、或利用入口740進行吹淨來達成區域之間的空間分離。根據一實施例,藉由使基座以受控速率旋轉,每一台曝露於ALE製程的順序步驟。在某些實施例中,基座的一部分(例如,720)可用於執行表面調整步驟,且另一部分(例如,730)用於執行移除步驟。藉由排空或吹淨,可防止區域之間的污染。ALE製程的步驟的持續時間可藉由調整處理區域的大小和控制轉速來加以控制。如此的操作模式容許ALE製程持續進行,而無需吹淨或排空整個製程腔室。上述旋轉基座的配置僅為範例。
此處總結本發明的例示性實施例。從本文所申請之說明書及申請專利範圍整體內容亦可理解其他的實施例。
範例1。一種基板處理方法包括將基板裝載於製程腔室中,其中該基板包括待蝕刻的含金屬氧化物膜。方法更包含執行包括複數循環製程的原子層蝕刻,複數循環製程的每一者包括將含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流,以及將含金屬氧化物膜曝露於包括硼烷試劑或胺試劑的第二氣體流。
範例2。如範例1的方法,更包括在將含金屬氧化物膜曝露於第二氣體流之前,從製程腔室移除第一氣體流。
範例3。如範例1或2的方法,更包括在開始複數循環製程的下一循環之前,從製程腔室移除第二氣體流。
範例4。如範例1至3其中一者的方法,其中製程腔室包括具有第一氣體流的第一區域和具有第二氣體流的第二區域,方法還包括在將含金屬氧化物膜曝露於第一氣體流之後,將基板從第一區域輸送到第二區域。
範例5。如範例1至4其中一者的方法,更包括位於第一區域和第二區域之間的吹淨區,該吹淨區包括含惰性氣體的第三氣體流。
範例6。如範例1至5其中一者的方法,其中硼烷試劑包括第一配位體、第二配位體、和第三配位體,第一配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,第二配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,且第三配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,且其中第二氣體流包括硼烷試劑,且沒有任何的胺試劑。
範例7。如範例1至6其中一者的方法,其中硼烷試劑是三烷基硼烷試劑。
範例8。如範例1至7其中一者的方法,其中硼烷試劑是三甲基硼烷、或三乙基硼烷。
範例9。如範例1至8其中一者的方法,其中硼烷試劑包括三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、或三(二乙基氨基)硼烷。
範例10。如範例1至9其中一者的方法,其中硼烷試劑還包括中性含氮加成物配位體。
範例11。如範例1至10其中一者的方法,其中胺試劑包括氫、氮、第一配位體、和第二配位體,第一配位體是氫配位體或烷基配位體,第二配位體是烷基配位體,且其中第二氣體流包括胺試劑,且沒有任何硼烷試劑。
範例12。如範例1至11其中一者的方法,其中胺試劑是二烷基胺。
範例13。如範例1至12其中一者的方法,其中胺試劑是二甲胺、二乙胺、或乙基甲胺。
範例14。如範例1至13其中一者的方法,更包括將含金屬氧化物膜曝露於包括中性含氮試劑的氣體流。
範例15。一種原子層蝕刻(ALE)方法包括將基板裝載於製程腔室中,該基板包括待蝕刻的含金屬氧化物膜。方法還包括將基板曝露於包括氣相的三氯化硼(BCl 3)的第一氣體流;以及將基板曝露於包括醇、羧酸、羧醯胺、或β-二酮試劑的第二氣體流。
範例16。如範例15的方法,其中醇包括甲醇、乙醇、叔丁醇、異丙醇、三氟甲醇、全氟乙醇、全氟異丙醇、或全氟叔丁醇。
範例17。如範例15或16的方法,其中羧酸包括醋酸、乙酸、或三氟乙酸。
範例18。如範例15至17其中一者的方法,其中羧醯胺包括乙醯胺或二甲基甲醯胺。
範例19。如範例15至18其中一者的方法,其中β-二酮包括乙醯丙酮或六氟乙醯丙酮。
範例20。如範例15至19其中一者的方法,其中更包括將含金屬氧化物膜曝露於包括中性含氮試劑的氣體流。
範例21。一種基板處理方法包括將基板裝載於製程腔室中,該基板包括待蝕刻的含金屬氧化物膜。方法還包括執行包括複數自限制循環製程的序列蝕刻製程,該複數自限制循環製程的每一者包括將含金屬氧化物膜的一層轉換為包括氯的金屬混合陰離子化合物,以及將金屬混合陰離子化合物從含金屬氧化物膜的其他部分釋放,以移除含金屬氧化物膜的該層,釋放包括將金屬混合陰離子化合物曝露於第一氣體流,該第一氣體流包括鋁氫化物與含氮加成物配位體的加成物、硼烷試劑、胺試劑、β-二酮試劑、醇、或羧酸。
雖然本發明已參考例示性實施例加以描述,但本描述內容不意圖被解釋為限制意義。 在參考描述內容時,對本領域技術人員來說,例示性實施例及本發明的其他實施例的諸多修改和組合將顯而易見。 因此,所附申請專利範圍意在包括任何如此的修改或實施例。
100:含金屬氧化物膜 102:基板 104:蒸氣 106:揮發性副產物 108:含金屬氯氧化物層 110:蒸氣 112:產物 500:製程流程 502~514:框 600:處理工具 610:基板 620:腔室 630:氣體輸送系統 640:真空泵系統 650:固持器 660:溫度控制器 700:台 710:排出部 720:處理區域 730:處理區域 740:入口
為更完整地理解本發明及其優點,結合附圖,參考以下說明,其中:
圖1A~1C根據一實施例示意性顯示熱ALE製程期間處於不同階段的基板的剖面圖,其中圖1A顯示ALE製程之前的基板,圖1B顯示處於表面調整步驟期間的基板,以及圖1C顯示處於移除步驟期間的基板;
圖2根據一實施例顯示鋁氧化物(Al 2O 3)的ALE蝕刻的範例中,表面化學成分的變化及揮發性產物的移除,其中在表面調整步驟中使用三氯化硼(BCl 3)及在移除步驟中使用硼烷試劑;
圖3根據一實施例顯示鋁氧化物(Al 2O 3)的ALE蝕刻的範例中,表面化學成分的變化及揮發性產物的移除,其中在表面調整步驟中使用三氯化硼(BCl 3)及在移除步驟中使用胺試劑;
圖4根據一實施例顯示鋁氧化物(Al 2O 3)的ALE蝕刻的範例中,表面化學成分的變化及揮發性產物的移除,其中在表面調整步驟中使用三氯化硼(BCl 3)及在移除步驟中使用醇;
圖5是根據一實施例顯示基板上的熱ALE製程的例示性製程流程的流程圖;
圖6根據本申請的實施例顯示ALE處理工具;以及
圖7根據一實施例顯示用於ALE處理的旋轉基座。
500:製程流程
502~514:框

Claims (21)

  1. 一種基板處理方法,該基板處理方法包括: 將一基板裝載於一製程腔室中,該基板包括待蝕刻的一含金屬氧化物膜;以及 執行包括複數循環製程的原子層蝕刻,該複數循環製程的每一者包括將該含金屬氧化物膜曝露於包括三氯化硼(BCl 3)的第一氣體流,以及將該含金屬氧化物膜曝露於包括硼烷試劑或胺試劑的第二氣體流。
  2. 如請求項1的基板處理方法,更包括: 在將該含金屬氧化物膜曝露於該第二氣體流之前,從該製程腔室移除該第一氣體流。
  3. 如請求項1的基板處理方法,更包括: 在開始該複數循環製程的下一循環之前,從該製程腔室移除該第二氣體流。
  4. 如請求項1的基板處理方法,其中該製程腔室包括具有該第一氣體流的一第一區域和具有該第二氣體流的一第二區域,該基板處理方法還包括在將該含金屬氧化物膜曝露於該第一氣體流之後,將該基板從該第一區域輸送到該第二區域。
  5. 如請求項4的基板處理方法,更包括位於該第一區域和該第二區域之間的吹淨區,該吹淨區包括含一惰性氣體的一第三氣體流。
  6. 如請求項1的基板處理方法,其中該硼烷試劑包括第一配位體、第二配位體、和第三配位體,該第一配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,該第二配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,且該第三配位體是氫配位體、烷基配位體、或二烷基醯胺配位體,且其中該第二氣體流包括該硼烷試劑,且沒有任何的胺試劑。
  7. 如請求項6的基板處理方法,其中該硼烷試劑是三烷基硼烷試劑。
  8. 如請求項6的基板處理方法,其中該硼烷試劑是三甲基硼烷、或三乙基硼烷。
  9. 如請求項6的基板處理方法,其中該硼烷試劑包括三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、或三(二乙基氨基)硼烷。
  10. 如請求項6的基板處理方法,其中該硼烷試劑還包括中性含氮加成物配位體。
  11. 如請求項1的基板處理方法,其中該胺試劑包括氫、氮、第一配位體、和第二配位體,該第一配位體是氫配位體或烷基配位體,該第二配位體是烷基配位體,且其中該第二氣體流包括該胺試劑,且沒有任何硼烷試劑。
  12. 如請求項11的基板處理方法,其中該胺試劑是二烷基胺。
  13. 如請求項12的基板處理方法,其中該胺試劑是二甲胺、二乙胺、或乙基甲胺。
  14. 如請求項11的基板處理方法,更包括將該含金屬氧化物膜曝露於包括中性含氮試劑的氣體流。
  15. 一種原子層蝕刻方法,該原子層蝕刻方法包括: 將一基板裝載於一製程腔室中,該基板包括待蝕刻的一含金屬氧化物膜; 將該基板曝露於包括氣相的三氯化硼(BCl 3)的第一氣體流;以及 將該基板曝露於包括醇、羧酸、羧醯胺、或β-二酮試劑的第二氣體流。
  16. 如請求項15的原子層蝕刻方法,其中該醇包括甲醇、乙醇、叔丁醇、異丙醇、三氟甲醇、全氟乙醇、全氟異丙醇、或全氟叔丁醇。
  17. 如請求項15的原子層蝕刻方法,其中該羧酸包括醋酸、乙酸、或三氟乙酸。
  18. 如請求項15的原子層蝕刻方法,其中該羧醯胺包括乙醯胺或二甲基甲醯胺。
  19. 如請求項15的原子層蝕刻方法,其中該β-二酮包括乙醯丙酮或六氟乙醯丙酮。
  20. 如請求項15的原子層蝕刻方法,更包括將該含金屬氧化物膜曝露於包括中性含氮試劑的氣體流。
  21. 一種基板處理方法,該基板處理方法包括: 將一基板裝載於一製程腔室中,該基板包括待蝕刻的一含金屬氧化物膜;以及 執行包括複數自限制循環製程的序列蝕刻製程,該複數自限制循環製程的每一者包括: 將該含金屬氧化物膜的一層轉換為包括氯的金屬混合陰離子化合物,以及 將該金屬混合陰離子化合物從該含金屬氧化物膜的其他部分釋放,以移除該含金屬氧化物膜的該層,該釋放包括將該金屬混合陰離子化合物曝露於第一氣體流,該第一氣體流包括鋁氫化物與含氮加成物配位體的加成物、硼烷試劑、胺試劑、β-二酮試劑、醇、或羧酸。
TW111108146A 2021-03-08 2022-03-07 金屬氧化物的原子層蝕刻 TW202249117A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/194,421 US11462414B2 (en) 2021-03-08 2021-03-08 Atomic layer etching of metal oxides
US17/194,421 2021-03-08

Publications (1)

Publication Number Publication Date
TW202249117A true TW202249117A (zh) 2022-12-16

Family

ID=83116334

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111108146A TW202249117A (zh) 2021-03-08 2022-03-07 金屬氧化物的原子層蝕刻

Country Status (5)

Country Link
US (1) US11462414B2 (zh)
JP (1) JP2024509558A (zh)
KR (1) KR20230152699A (zh)
TW (1) TW202249117A (zh)
WO (1) WO2022192092A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018221188A1 (de) * 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
WO2024102274A1 (en) * 2022-11-08 2024-05-16 Tokyo Electron Limited Thermal etching of ruthenium

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
US8809195B2 (en) * 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9536748B2 (en) * 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US10381227B2 (en) * 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
KR102292077B1 (ko) * 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
CN110494594A (zh) * 2017-04-13 2019-11-22 巴斯夫欧洲公司 蚀刻含金属或半金属的材料的方法
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
TW202322215A (zh) * 2019-06-11 2023-06-01 美商應用材料股份有限公司 使用氟及金屬鹵化物來蝕刻金屬氧化物
JP7096279B2 (ja) * 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法

Also Published As

Publication number Publication date
US20220285163A1 (en) 2022-09-08
JP2024509558A (ja) 2024-03-04
US11462414B2 (en) 2022-10-04
WO2022192092A1 (en) 2022-09-15
KR20230152699A (ko) 2023-11-03

Similar Documents

Publication Publication Date Title
TWI815898B (zh) 蝕刻方法及蝕刻裝置
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
TW201809346A (zh) 反應室鈍化以及金屬膜的選擇性沈積
US8809195B2 (en) Etching high-k materials
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
JP2018142698A (ja) エアギャップの形成方法
TW201833374A (zh) 用於鈍化半導體表面之方法及相關系統
US20130115778A1 (en) Dry Etch Processes
TW202249117A (zh) 金屬氧化物的原子層蝕刻
JP2009544849A (ja) 膜形成装置のクリーニング方法および膜形成装置
CN110592554A (zh) 沉积金属合金膜的方法
TWI727389B (zh) 使用自組裝單層的選擇性沉積的方法
TWI792002B (zh) 使用氟及金屬鹵化物來蝕刻金屬氧化物
JP2008060171A (ja) 半導体処理装置のクリーニング方法
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
TW202235670A (zh) 硼膜的沉積
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
WO2022230859A1 (ja) 表面処理方法、ドライエッチング方法、クリーニング方法、半導体デバイスの製造方法及びエッチング装置
TW202328401A (zh) 蝕刻製程和處理組件
CN117378293A (zh) 具有偶极区域的v-nand堆叠
KR20220086610A (ko) 드라이 에칭 방법, 반도체 디바이스의 제조 방법 및 에칭 장치