TW202240701A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202240701A
TW202240701A TW111109210A TW111109210A TW202240701A TW 202240701 A TW202240701 A TW 202240701A TW 111109210 A TW111109210 A TW 111109210A TW 111109210 A TW111109210 A TW 111109210A TW 202240701 A TW202240701 A TW 202240701A
Authority
TW
Taiwan
Prior art keywords
layer
work function
transistor
layers
conductive work
Prior art date
Application number
TW111109210A
Other languages
English (en)
Inventor
秉順 林
趙皇麟
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202240701A publication Critical patent/TW202240701A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處揭露電晶體的形成方法。方法包括形成高介電常數的介電層於半導體基板上,形成預處理層於高介電常數的介電層上,依據電晶體的目標有效功函數決定導電功函數層的厚度,以及形成導電功函數層於預處理層上,其中導電功函數層的導電功函數層厚度實質上等於決定的厚度。形成電晶體的方法亦包括形成塗層於導電功函數層上。閘極堆疊依據決定的厚度具有調整的有效功函數。

Description

半導體裝置的形成方法
本發明實施例關於金屬閘極,更特別關於具有預處理層的金屬閘極。
半導體製造製程包括數個製作步驟或製程,其各自用於形成一或多個半導體層。舉例來說,可摻雜結晶半導體基板的部分以形成每一層。此外,可添加導電、電阻、及/或絕緣層於結晶半導體基板上,以形成一或多層。
本發明一實施例關於半導體裝置的形成方法。方法包括形成含有第一閘極堆疊的第一電晶體於半導體基板的第一區中,至少包括:形成第一高介電常數的介電層於半導體基板上,形成第一預處理層於第一高介電常數的介電層上,以及形成第一導電功函數層於第一預處理層上,其中第一導電功函數層具有第一導電功函數層厚度。形成第一電晶體的步驟亦包括形成第一塗層於第一導電功函數層上,其中第一閘極堆疊具有第一有效功函數。方法亦包括形成含有第二閘極堆疊的第二電晶體於半導體基板的第二區中,至少包括:形成第二高介電常數的介電層於半導體基板上,形成第二預處理層於第二高介電常數的介電層上,形成第二導電功函數層於第二預處理層上,其中第二導電功函數層具有第二導電功函數層厚度。形成第二電晶體的步驟亦包括形成第二塗層於第二導電功函數層上,其中第二閘極堆疊具有第二有效功函數。第一導電功函數層厚度大於該第二導電功函數層厚度,且第一有效功函數大於第二有效功函數。
本發明另一實施例關於半導體裝置的形成方法。方法包括形成含有閘極堆疊的電晶體於半導體基板上,至少包括:形成高介電常數的介電層於半導體基板上,形成預處理層於高介電常數的介電層上,依據電晶體的目標有效功函數決定導電功函數層的厚度,形成導電功函數層於預處理層上,其中導電功函數層的導電功函數層厚度實質上等於決定的厚度。形成電晶體的方法亦包括形成塗層於導電功函數層上。閘極堆疊依據決定的厚度具有調整的有效功函數。
本發明又一實施例關於半導體裝置,其具有第一電晶體,包括第一閘極堆疊於半導體基板的第一區中,且第一閘極堆疊包括第一高介電常數的介電層。第一電晶體亦包括第一初始層位於第一高介電常數的介電層上,以及第一導電功函數層位於第一初始層上,其中第一導電功函數層具有第一導電功函數層厚度。第一電晶體亦包括第一塗層位於第一導電功函數層上,其中第一閘極堆疊具有第一有效功函數。半導體裝置亦包括第二電晶體,包括第二閘極堆疊於半導體基板的第二區中,且第二閘極堆疊包括第二高介電常數的介電層。第二電晶體亦包括第二初始層位於第二高介電常數的介電層上,以及第二導電功函數層位於第二初始層上,其中第二導電功函數層具有第二導電功函數層厚度。第二電晶體亦包括第二塗層位於第二導電功函數層上,其中第二閘極堆疊具有第二有效功函數。第一導電功函數層厚度大於第二導電功函數層厚度,且至少部分因為第一導電功函數層厚度大於第二導電功函數層厚度而造成第一有效功函數大於第二有效功函數。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此處說明製程、結構、與設備的一些變化例。本技術領域中具有通常知識者易於理解在其他實施例的範圍內可進行的其他調整。雖然以特定順序說明製程的實施例,但多種其他製程的實施例可由任何邏輯性的順序進行製程,且可包括更少或更多的步驟。
此外,空間相對用語如「在…下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他方向),則使用的空間相對形容詞也將依轉向後的方向來解釋。
參考圖式說明數個例示性實施例,而這些圖式為說明的一部分。後續說明僅提供實施例而非侷限本發明實施例的的範圍、適用性、或配置。相反地,實施例的後續說明提供本技術領域中具有通常知識者實現一或多個實施例的方法。可以理解的是,在不偏離本發明實施例的精神與範圍的情況下,可改變單元功能與配置。在下述內容中,說明具體細節以利理解一些創造性實施例。然而,可在沒有這些具體細節的情況下實現各種實施例。圖式和說明並非用於侷限本發明實施例。此處所述的用語「例子」或「例示性」表示「作為例子、實例、或說明」。此處說明的「例示性」或「例子」的任何實施例或設計不一定比其他實施例或設計更佳或更有利。
縮小多種層狀物的厚度可增加半導體裝置的效能與積體密度。高介電常數的介電層與金屬閘極結構包括高介電常數的介電層與導電功函數層,可用於增加電路效能。導電功函數層上的塗層(如矽)可薄化導電功函數層使有效功函數層降低,且可更佳地擴展到具有更小製造尺寸的未來技術。然而較薄的導電功函數層可能使有效功函數與對應的臨界電壓的控制不良,造成不良的界面捕獲密度。
此處所述的用語「高介電常數」指的是高於氧化矽的介電常數。高介電常數的材料通常指的是等效氧化物厚度小於氧化矽的材料,因此可保持適當的閘極氧化物厚度以減少漏電流,同時增加切換速度。高介電常數的材料可降低漏電流,同時維持極低的電性等效氧化物厚度。為了減少半導體裝置的尺寸,內連線可因此採用低介電常數的介電層,而低漏電流的閘極氧化物可採用高介電常數的介電層。
高介電常數的介電層與金屬閘極結構可包括高介電常數的介電材料與導電功函數金屬材料。導電功函數金屬材料可包含鋁為主的材料,比如碳化鈦鋁或碳化鉭鋁。高介電常數的介電層與金屬閘極結構的導電功函數金屬材料需要厚的保護層,以避免鋁氧化。因此一些高介電常數的介電層與金屬閘極結構的形成製程通常採用較厚的保護層或較高鋁劑量,使高介電常數的介電層與金屬閘極結構的有效功函數朝向能帶邊緣推進。舉例來說,當碳化鈦鋁用於形成高介電常數的介電層與金屬閘極結構時,通常控制鋁%及/或碳化鈦鋁的厚度,以朝n型能帶邊緣調整有效功函數。在這些製程中,臨界電壓與界面捕獲密度變得不良。本發明的發明人理解到這些高介電常數的介電層與金屬閘極結構會限制其尺寸縮小的能力。
本發明實施例的目的之一為解決高介電常數的介電層與金屬閘極結構所用的厚保護層所造成的尺寸限制問題。
此處所述的實施例具有欲處理層形成於高介電常數的介電層上,以及薄導電功函數層形成於預處理層上。如此一來,實施例具有優點如較薄的導電功函數層,有效功函數與對應的臨界電壓的控制較佳、以及良好的界面捕獲密度。
一些實施例的內容以置換閘極製程作說明。一些實施例的實施方式可用於其他例子的製程。舉例來說,其他例子的製程可包含閘極優先製程或其他電晶體的製作製程。
一些實施例的內容以鰭狀場效電晶體作說明。鰭狀場效電晶體的鰭狀物的圖案化方法可為任何合適方法。舉例來說,鰭狀物的圖案化方法可採用一或多道光微影製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物之後可用於圖案化鰭狀物。一些實施例的實施方式可用於其他裝置。舉例來說,其他裝置可為奈米結構電晶體,其包括全繞式閘極場效電晶體、水平全繞式閘極場效電晶體、垂直全繞式閘極場效電晶體、奈米線通道場效電晶體、或含有奈米片結構的其他裝置。
本技術領域中具有通常知識者應理解,一些或全部的實施方式可用於特定或任何其他的電晶體結構。
圖1顯示形成閘極結構(如圖2至20所示)的製程10的例示性流程圖。圖2的透視圖與圖3至20的剖視圖係一些實施例中,對應製程10的多種階段的電晶體的閘極堆疊之基板的部分。可採用製程10以形成任何合適結構。
圖2係一些實施例中,採用圖1的製程10所能形成的鰭狀場效電晶體。鰭狀場效電晶體如三維圖所示,且可包含鰭狀物58於基板50上。隔離區56形成於基板50上,而鰭狀物58自相鄰的隔離區56之間凸起高於隔離區56。閘極介電層102沿著鰭狀物58的側壁與上表面,而閘極120位於閘極介電層102上。源極/汲極區86相對於閘極介電層102與閘極120,位於鰭狀物58的兩側中。圖2亦顯示後續圖式所用的參考剖面。參考剖面A-A越過鰭狀場效電晶體的通道、閘極介電層102、與閘極120。參考剖面B-B垂直於參考剖面A-A,且沿著鰭狀物58的縱軸並在流經源極/汲極區86之間的電流方向中。參考剖面C-C平行於參考剖面A-A,且延伸穿過鰭狀場效電晶體的源極/汲極區。後續圖式將依據這些參考剖面以求圖式清楚。
此處所述的一些單元的內容,採用閘極後製製程所形成的鰭狀場效電晶體作說明。在其他實施例中,可採用閘極優先製程。此外,一些實施例可用於平面裝置如平面場效電晶體。
圖3至20係圖1的製程10的一些實施例所製造的鰭狀場效電晶體於中間階段的剖視圖。圖3至7各自顯示多個鰭狀場效電晶體沿著圖2所示的參考剖面A-A的圖式。圖8至10A與圖11至20各自顯示多個鰭狀場效電晶體沿著圖2所示的參考剖面B-B的圖式。圖10B及10C各自顯示多個鰭狀場效電晶體沿著圖2所示的參考剖面C-C的圖式。
圖1的製程10的步驟12形成鰭狀結構於基板50中,如圖3所示。基板50可為半導體基板如基體半導體、絕緣層上半導體基板、或類似物,其可摻雜(如摻雜p型摻質或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板為半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。提供絕緣層於基板上,而基板通常為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽、鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。
基板50具有區域50B與區域50C。區域50B可用於形成n型裝置如n型金氧半電晶體,比如n型鰭狀場效電晶體。區域50C可用於形成p型裝置如p型金氧半電晶體,比如p型鰭狀場效電晶體。區域50B與區域50C可物理分開(如隔有圖示的分隔線),且任何數目的裝置結構(比如其他主動裝置、摻雜區、隔離結構、或類似物)可位於區域50B與區域50C之間。在一些實施例中,區域50B與區域50C可用於形成相同型態的裝置,比如均用於n型裝置或p型裝置。
鰭狀物52為半導體帶狀物。在一些實施例中,鰭狀物52形成於基板50中的方法可為蝕刻溝槽於基板50中。蝕刻可為任何可接受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似製程、或上述之組合。蝕刻可為非等向。
在圖1的製程10的步驟12中,形成絕緣材料54於基板50之上與相鄰的鰭狀物52之間,如圖4所示。絕緣材料54可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後固化材料使其轉變成另一材料如氧化物)、類似方法、或上述之組合。可採用任何可接受的製程所形成的其他絕緣材料。在所述實施例中,絕緣材料54為可流動的化學氣相沉積所形成的氧化矽。一旦形成絕緣材料,及可進行退火製程。一實施例形成絕緣材料54,使多餘的絕緣材料54覆蓋鰭狀物52。
圖1的製程10的步驟12對絕緣材料54進行平坦化製程,如圖5所示。在一些實施例中,平坦化製程包括化學機械研磨、回蝕刻製程、上述之組合、或類似製程。平坦化製程可露出鰭狀物52。在完成平坦化製程之後,鰭狀物52與絕緣材料54的上表面齊平。
圖1的製程10的步驟12使絕緣材料54凹陷,以形成淺溝槽隔離區56,如圖6所示。使絕緣材料54凹陷,而區域50B與區域50C中的鰭狀物58可自相鄰的淺溝槽隔離區56之間凸起。此外,淺溝槽隔離區56可具有平坦表面(如圖示)、凸出表面、凹入表面(如碟化)、或上述之組合。可由合適蝕刻使淺溝槽隔離區56的上表面平坦、凸出、及/或凹入。使淺溝槽隔離區56凹陷的方法可採用可接受的蝕刻製程,比如對絕緣材料54具有選擇性的蝕刻製程。舉例來說,可採用化學氧化物移除蝕刻、Applied Materials的SICONI工具、或稀氫氟酸。
本技術領域中具有通常知識者應理解圖3至6所示的製程僅為如何形成鰭狀物58的一例。在一些實施例中,可形成介電層於基板50的上表面上、可蝕刻溝槽穿過介電層、可磊晶成長同質磊晶結構於溝槽中、且可使介電層凹陷,而同質磊晶結構自介電層凸起以形成鰭狀物。在一些實施例中,可採用異質磊晶結構以用於鰭狀物52。舉例來說,可使圖5中的鰭狀物52凹陷,並磊晶成長不同於鰭狀物52的材料於凹陷處。在其他實施例中,可形成介電層於基板50的上表面上、可蝕刻溝槽穿過介電層、可磊晶成長不同於基板50的材料的異質磊晶結構於溝槽中、且可使介電層凹陷,而異質磊晶結構自介電層凸起以形成鰭狀物58。一些實施例磊晶成長同質磊晶結構或異質磊晶結構,且可在成長時原位摻雜成長的材料,以省略之前或之後的佈植。不過原位摻雜與佈植摻雜可搭配使用。此外,磊晶成長於n型金氧半區中的材料不同於磊晶成長於p型金氧半區中的材料具有優點。在多種實施例中,鰭狀物58的組成可為矽鍺、碳化矽、純鍺或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,形成III-V族半導體化合物的可行材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化鎵銦、砷化鋁銦、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
此外,可形成適當摻雜區(未圖示,有時可視作井區)於鰭狀物58、鰭狀物52、及/或基板50中。在一些實施例中,可形成p型摻雜區於區域50B中,且可形成n型摻雜區於區域50C中。在一些實施例中,只形成p型摻雜區於區域50B與區域50C中,或只形成n型摻雜區於區域50B與區域50C中。
在採用不同型態的摻雜區的實施例中,可採用光阻或其他遮罩(未圖示)以達區域50B與區域50C所用的不同佈植步驟。舉例來說,可形成光阻於區域50B中的鰭狀物58與淺溝槽隔離區56上。可圖案化光阻以露出基板50的區域50C如p型金氧半區。可採用旋轉塗佈技術形成光阻,並採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,可在區域50C中進行n型雜質佈植,且光阻可作為遮罩以實質上避免n型雜質佈植至區域50B如n型金氧半區中。n型雜質如磷、砷、或類似物佈植至區域中的濃度可小於或等於10 18cm -3,比如約10 17cm -3至約10 18cm -3。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。在佈植區域50C之後,形成光阻於區域50C中的鰭狀物58與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50B如n型金氧半區。可採用旋轉塗佈技術形成光阻,且可採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,即可在區域50B中進行p型雜質佈植,且光阻可作為遮罩以實質上避免p型雜質佈植至區域50C如p型金氧半區中。p型雜質可為硼、二氟化硼、或類似物,其佈植至區域中的濃度可小於或等於10 18cm -3,比如約10 17cm -3至約10 18cm -3。在佈植之後可移除光阻,且移除方法可為可接受的灰化製程。在佈植區域50B與區域50C之後,可進行退火以活化佈植的p型雜質及/或n型雜質。在一些實施例中,磊晶鰭狀物的成長材料可在成長時原位摻雜以省略佈植。不過原位摻雜與佈植摻雜可搭配使用。
圖1的製程10的步驟12形成虛置介電層60於鰭狀物58上,如圖7所示。舉例來說,虛置介電層60可為氧化矽、氮化矽、上述之組合、或類似物,且其沉積或熱成長的方法可依據可接受的技術。虛置閘極層62形成於虛置介電層60上,而遮罩層64形成於虛置閘極層62上。可沉積虛置閘極層62於虛置介電層60上,並以化學機械研磨等製程平坦化虛置閘極層62。虛置閘極層62可為導電材料,比如多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、或金屬。在一實施例中,沉積非晶矽,並再結晶非晶矽以產生多晶矽。虛置閘極層62的沉積方法可為物理氣相沉積、化學氣相沉積、濺鍍沉積、或本技術領域已知用於沉積導電材料所用的其他技術。虛置閘極層62的組成可為其他材料,其對蝕刻隔離區的步驟具有高蝕刻選擇性。遮罩層64可沉積於虛置閘極層62上。舉例來說,遮罩層64可包含氮化矽、氮氧化矽、或類似物。在此例中,單一虛置閘極層62與單一遮罩層64越過區域50B與區域50C。在一些實施例中,可分開形成區域50B與區域50C中的虛置閘極層,且可分開形成區域50B與區域50C中的遮罩層。
圖8至20係一些實施例中,製造鰭狀場效電晶體的中間階段的剖視圖。圖8至10A與圖11至20沿著圖1所示的參考剖面B-B,差別在具有多個鰭狀物或鰭狀場效電晶體。圖10B及10C沿著圖1所示的參考剖面C-C,差別在具有多個鰭狀物或鰭狀場效電晶體。
圖8至20顯示一或多個鰭狀物58的區域58B與區域58C。區域58B與區域58C可位於相同的鰭狀物58或不同的鰭狀物58中。不同區域58B及58C中的裝置可具有不同的導電型態。
圖1的製程10的步驟12採用可接受的光微影與蝕刻技術圖案化遮罩層64以形成遮罩74,如圖8所示。接著由可接受的蝕刻技術將遮罩74的圖案分別轉移至虛置閘極層62與虛置介電層60,以形成虛置閘極72與虛置閘極介電層70。虛置閘極72與虛置閘極介電層70覆蓋鰭狀物58的個別通道區。遮罩74的圖案可用於物理分開每一虛置閘極72與相鄰的虛置閘極。虛置閘極72的長度方向實質上垂直於個別磊晶鰭狀物的長度方向。
圖1的製程10的步驟12可形成閘極密封間隔物80於虛置閘極72及/或鰭狀物58的露出表面上,如圖9所示。熱氧化或沉積之後可進行非等向蝕刻,以形成閘極密封間隔物80。在一些實施例中,閘極密封間隔物80的組成可為氮化物如氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。閘極密封間隔物80可密封後續形成的閘極堆疊的側壁,且可作為額外的閘極間隔物層。
此外,可進行輕摻雜源極/汲極區82所用的佈植。在不同裝置型態的實施例中(與圖6所示的佈植類似),可形成遮罩如光阻於第一區50B上並露出第二區50C,且可佈植合適型態(如n型或p型)的雜質至第二區50C中露出的鰭狀物58之中。接著可移除遮罩層。之後可形成遮罩如光阻於第二區50C上並露出第一區50B,且可佈植合適型態的雜質至第一區50B中露出的鰭狀物58之中。接著可移除遮罩。n型雜質可為任何前述的n型雜質,而p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區的雜質濃度可為約10 15cm -3至約10 16cm -3。可採用退火以活化佈植的雜質。
此外,閘極間隔物84可形成於沿著虛置閘極72的側壁的閘極密封間隔物80之上與輕摻雜源極/汲極區82之上。閘極間隔物84的形成方法可為順應性沉積材料,接著非等向蝕刻材料。閘極間隔物84的材料可為氮化矽、碳氮化矽、上述之組合、或類似物。蝕刻可對閘極間隔物84的材料具有選擇性,因此在形成閘極間隔物84時不蝕刻輕摻雜源極/汲極區82。
圖1的製程10的步驟12形成磊晶源極/汲極區86於鰭狀物58中,如圖10A、10B、及10C所示。磊晶源極/汲極區86形成於鰭狀物58中,使每一虛置閘極72位於個別相鄰成對的磊晶源極/汲極區86之間。在一些實施例中,磊晶源極/汲極區86可延伸穿過輕摻雜源極/汲極區82。在一些實施例中,閘極密封間隔物80與閘極間隔物84可用於使磊晶源極/汲極區86與虛置閘極72隔有適當的橫向距離,以避免磊晶源極/汲極區86向外短接至最終鰭狀場效電晶體其後續形成的閘極。
區域50B如n型金氧半區中的磊晶源極/汲極區86的形成方法,可為遮罩區域50C如p型金氧半區,並蝕刻區域50B中的鰭狀物58的源極/汲極區以形成凹陷於鰭狀物58中。接著磊晶成長區域50B中的磊晶源極/汲極區86於凹陷中。磊晶源極/汲極區86可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,若鰭狀物58為矽,則區域50B中的磊晶源極/汲極區86可包含矽、碳化矽、碳磷化矽、磷化矽、或類似物。區域50B中的磊晶源極/汲極區86亦可具有自鰭狀物58的個別表面***的表面,且可具有晶面。
區域50C如p型金氧半區中的磊晶源極/汲極區86的形成方法,可為遮罩區域50B如n型金氧半區,並蝕刻區域50C中的鰭狀物58的源極/汲極區以形成凹陷於鰭狀物58中。接著磊晶成長區域50C中的磊晶源極/汲極區86於凹陷中。磊晶源極/汲極區86可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,若鰭狀物58為矽,則區域50C中的磊晶源極/汲極區86可包含矽鍺、硼化矽鍺、鍺、鍺錫、或類似物。區域50C中的磊晶源極/汲極區86亦可具有自鰭狀物58的個別表面***的表面,且可具有晶面。
在成長時可原位摻雜磊晶源極/汲極區86,以形成源極/汲極區。磊晶源極/汲極區86的摻雜型態可與個別的輕摻雜源極/汲極區82的摻雜型態相同,且磊晶源極/汲極區86與輕摻雜源極/汲極區82可摻雜相同或不同的摻質。磊晶源極/汲極區86的雜質濃度可介於約10 19cm -3至約10 21cm -3之間。源極/汲極區所用的n型雜質及/或p型雜質可為前述的任何雜質。由於成長磊晶源極/汲極區86時進行原位摻雜,因此不佈植摻雜磊晶源極/汲極區86。然而一些實施例產生的輕摻雜源極/汲極區82的摻雜輪廓與濃度,可與佈植摻雜磊晶源極/汲極區所產生的摻雜輪廓與濃度類似。改善輕摻雜源極/汲極區82的摻雜輪廓與濃度,可改善最終半導體裝置的效能與可信度。
磊晶製程可形成磊晶源極/汲極區86於區域50B與區域50C中,且磊晶源極/汲極區的上表面具有晶面,其橫向地向外超出鰭狀物58的側壁。在一些實施例中,這些晶面可能造成相同鰭狀場效電晶體的相鄰磊晶源極/汲極區86合併,如圖10B所示的實施例。在其他實施例中,完成磊晶製程之後的相鄰磊晶源極/汲極區86維持分開,如圖10C所示的實施例。
圖1的製程10的步驟12沉積層間介電層90於鰭狀物58上,如圖11所示。層間介電層90的組成可為介電材料或半導體材料,且其沉積方法可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。介電材料可包括磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。半導體材料可包含非晶矽、矽鍺、純鍺、或類似物。亦可採用任何可接受的製程所形成的其他絕緣或半導體材料。在一些實施例中,可沉積接點蝕刻停止層(未圖示)於層間介電層90與磊晶源極/汲極區86、閘極間隔物84、閘極密封間隔物80、及遮罩74之間。
圖1的製程10的步驟12可進行平坦化製程如化學機械研磨,以齊平層間介電層80的上表面與虛置閘極72的上表面,如圖12所示。平坦化製程亦可移除虛置閘極72上的遮罩74,以及閘極密封間隔物80與閘極間隔物84沿著遮罩74的側壁的部分。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物84、與層間介電層90的上表面齊平。綜上所述,自層間介電層90露出虛置閘極72的上表面。
圖1的製程10的步驟12在蝕刻步驟中移除虛置閘極72以及直接位於露出的虛置閘極72之下的虛置閘極介電層70的部分,以形成凹陷92,如圖13所示。在一些實施例中,虛置閘極72的移除方法可為非等向乾蝕刻製程。舉例來說,蝕刻製程可包含乾蝕刻製程,其採用反應氣體以選擇性蝕刻虛置閘極72,而不蝕刻層間介電層90、閘極間隔物84、或閘極密封間隔物80。凹陷92各自露出個別鰭狀物58的通道區。通道區各自位於相鄰成對的磊晶源極/汲極區86之間。在移除步驟時,虛置閘極介電層70可作為蝕刻虛置閘極72的蝕刻停止層。在移除虛置閘極72之後,可移除虛置閘極介電層70。
圖1的製程10的步驟14可形成界面層100於凹陷92中,如圖14所示。界面層100順應性地形成於鰭狀物58上,因此界面層100可襯墊凹陷92的側壁與下表面。界面層100亦可覆蓋層間介電層90的上表面。在一些實施例中,界面層100為鰭狀物58的材料的氧化物,且其形成方法可為氧化凹陷92中的鰭狀物58。在特定實施例中,界面層100可包含介電材料如氧化矽層、氮氧化矽層、或類似物。界面層100的形成方法亦可為沉積製程如化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程、或類似製程。界面層100的初始厚度可為約5 Å至約10 Å。
圖1的製程10的步驟14形成閘極介電層102於界面層100上,如圖14所示。閘極介電層102可順應性地沉積於凹陷92中,比如沉積於凹陷92中的界面層100的側壁之上與鰭狀物58的上表面與側壁之上。閘極介電層102亦可沿著層間介電層90的上表面。在一些實施例中,閘極介電層102為高介電常數的介電材料,其介電常數大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。在一些實施例中,閘極介電層102可包括氧化鉿、氧化鋁、氧化鑭、氧化鑭矽、氧化鉿鑭、氧化鈦、氧化鉿鋯、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鉭、氧化釔、氧化鈦鍶、氧化鋇鈦、氧化鋇鋯、氧化鉿鋯、氮氧化鉿鋯、氧化鉿鑭、氧化鉿矽、氮氧化鉿矽、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、氧化鈦鋇鍶、上述之組合、或其他合適材料。在特定實施例中,高介電常數的介電層如閘極介電層102包括氧化鑭、氧化鑭矽、氧化鉿鑭、或上述之組合。閘極介電層102的形成方法可包括分子束沉積、原子層沉積、化學氣相沉積、電漿輔助化學氣相沉積、或類似製程。在其他實施例中,若不存在界面層100,則閘極介電層102可直接形成於鰭狀物58上。
圖1的製程10的步驟16沉積一或多個預處理層104於閘極介電層102上,如圖15所示。一或多個預處理層104可用於預處理閘極介電層102,使電晶體達到可接受的界面捕獲密度。電晶體所用的預處理層104可包含鋁為主的合金、鋁為主的金屬碳化物、或鋁為主的金屬氮化物,比如鉭鋁、碳化鉭鋁、氮化鉭鋁、鈦鋁、碳化鈦鋁、氮化鈦鋁、碳氧化鋁(碳小於約30%、約25%、約20%、約15%、或約10%)、其他合適的預處理層的材料、或上述之組合。
一或多個預處理層104的總厚度可為約2.5 Å至約30 Å。舉例來說,一或多個預處理層104的總厚度小於約2.5 Å。在一些實施例中,一或多個預處理層104的總厚度為約2.5 Å、約5 Å、約7.5 Å、約10 Å、約12.5 Å、約15 Å、約17.5 Å、約20 Å、約22.5 Å、約25 Å、約27.5 Å、或約30 Å。在一些實施例中,一或多個預處理層104的總厚度可大於約30 Å。在一些實施例中,形成於區域50B中的電晶體的一或多個預處理層104的厚度,可與形成於區域50C中的電晶體的一或多個預處理層104的厚度相同或實質上相同。在一些實施例中,形成於區域50B中的電晶體的一或多個預處理層104的厚度,與形成於區域50C中的電晶體的一或多個預處理層104的厚度不同。
在一些實施例中,可順應性沉積一或多個預處理層104,且沉積方法可為化學氣相沉積製程如電漿輔助化學氣相沉積、有機金屬化學氣相沉積、原子層沉積、循環沉積、或其他合適的沉積製程。
舉例來說,一些實施例的預處理層104的形成方法可各自採用原子層沉積製程。舉例來說,原子層沉積製程可採用含鋁前驅物,比如三乙基鋁、三甲基鋁、與三氯化鋁的一或多者。在一些實施例中,採用一或多種其他前驅物。舉例來說,可採用氯化鈦與氯化鉭的至少一者。在一些實施例中,溫度可介於約250℃至約475℃之間、介於約200℃至約500℃之間、介於約300℃至約425℃之間、或介於約350℃至約375℃之間。亦可採用其他溫度。在一些實施例中,浸入時間(或脈衝時間)可小於約60秒、約50秒、約40秒、約30秒、約20秒、或約10秒。在一些實施例中,浸入時間(或脈衝時間)可介於約10秒至約40秒之間、介於約15秒至約30秒之間、介於約20秒至約25秒之間、介於約15秒至約25秒之間、介於約25秒至約30秒之間、或介於約23秒至約27秒之間。亦可採用其他浸入或脈衝時間。在一些實施例中,壓力小於約15 T、約14 T、約13 T、約12 T、約11 T、約10 T、約9 T、約8 T、約7 T、約6 T、或約5 T。舉例來說,壓力可介於約5 T至約12 T之間、介於約6 T至14 T之間、介於約7 T至13 T之間、介於約8 T至12 T之間、或介於約9 T至11 T之間。在一些實施例中,可採用其他壓力。在一些實施例中,所有的預處理層104的形成方法可採用原子層沉積製程的1、2、3、4、5、6、7、8、9、10、或更多次的循環。
圖1的製程10的步驟18沉積一或多個導電功函數層106B及106C於一或多個預處理層104上,如圖16所示。可選擇一或多個導電功函數層106B及106C以調整電晶體裝置的功函數值,使電晶體達到所需的臨界電壓。n型電晶體裝置所用的一或多個導電功函數層106B及106C的材料例子包括鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的功函數材料、或上述之組合。p型電晶體裝置所用的一或多個導電功函數層106B及106C的材料例子包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他合適的功函數材料、或上述之組合。
一或多個導電功函數層106B及106C各自的厚度選擇,可使電晶體達到所需的臨界電壓。舉例來說,一或多個導電功函數層106B及106C各自的厚度可為約2.5 Å至約30 Å。舉例來說,一或多個導電功函數層106B及106C的總厚度可小於約2.5 Å。在一些實施例中,一或多個導電功函數層106B及106C的總厚度可為約2.5 Å、約5 Å、約7.5 Å、約10 Å、約12.5 Å、約15 Å、約17.5 Å、約20 Å、約22.5 Å、約25 Å、約27.5 Å、或約30 Å。在一些實施例中,一或多個導電功函數層106B及106C的總厚度可大於約30 Å。
在一些實施例中,形成於區域58B中的第一n型鰭狀場效電晶體結構的一或多個導電功函數層106B的厚度,與形成於區域58B中的第二n型鰭狀場效電晶體結構的一或多個導電功函數層106B的厚度不同。使第一電晶體的一或多個導電功函數層106B的厚度與第二電晶體的一或多個導電功函數層106B的厚度不同的製程,可包括形成一或多個導電功函數層106B的第一者於第一電晶體與第二電晶體上,以及形成遮罩層於第一電晶體而非第二電晶體上。接著形成一或多個導電功函數層106B的第二者於第一電晶體與第二電晶體上,使第一電晶體上為一或多個導電功函數層106B的第一者、遮罩層、與一或多個導電功函數層106B的第二者。接著移除遮罩層,亦移除一或多個導電功函數層106B的第二者的部分。如此一來,進行上述製程之後,一或多個導電功函數層106B的第一者形成於第一電晶體上,一或多個導電功函數層106B的第二者不形成於第一電晶體上,且一或多個導電功函數層106B的第一者與第二者形成於第二電晶體上而不形成於第一電晶體上。亦可採用其他方法產生具有不同厚度的一或多個導電功函數層106B的電晶體。第一電晶體與第二電晶體的一或多個導電功函數層106B的厚度不同,使第一電晶體與第二電晶體具有不同的臨界電壓。
在一些實施例中,形成於區域58C中的第一p型鰭狀場效電晶體結構的一或多個導電功函數層106C的厚度,與形成於區域58C中的第二p型鰭狀場效電晶體結構的一或多個導電功函數層106C的厚度不同。使形成於區域58C中的第一p型鰭狀場效電晶體結構的一或多個導電功函數層106C的厚度,與形成於區域58C中的第二p型鰭狀場效電晶體結構的一或多個導電功函數層106C的厚度不同的製程,可包括形成一或多個導電功函數層106C的第一者於第一電晶體與第二電晶體上、形成遮罩層於第一電晶體上、形成一或多個導電功函數層106C的第二者於第一電晶體與第二電晶體上、以及移除遮罩層與其上的一或多個導電功函數層106C的第二者的部分。如此一來,進行上述製程之後,一或多個導電功函數層106C的第一者形成於第一電晶體上,一或多個導電功函數層106C的第二者不形成於第一電晶體上,且一或多個導電功函數層106C的第一者與第二者形成於第二電晶體上。亦可採用其他方法以產生具有不同厚度的一或多個導電功函數層106C的電晶體。第一電晶體與第二電晶體的一或多個導電功函數層106C的厚度不同,使第一電晶體與第二電晶體具有不同的臨界電壓。
在一些實施例中,形成於區域58B中的第一電晶體的一或多個導電功函數層106B的總厚度,可為形成於區域58B中的第二電晶體的一或多個導電功函數層106B的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度的比例。
在一些實施例中,形成於區域58C中的第一電晶體的一或多個導電功函數層106C的總厚度,可為形成於區域58C中的第二電晶體的一或多個導電功函數層106C的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度的比例。
在一些實施例中,形成於區域58B中的第一n型電晶體或第二n型電晶體的一或多個導電功函數層106B之總厚度,為形成於區域58C中的第一p型電晶體或第二p型電晶體的一或多個導電功函數層106C的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度比例。
圖1的製程10的步驟20沉積塗層或浸入層108於導電功函數層106B及106C上,如圖17所示。在特定實施例中,浸入層108包括矽、氧化矽、與氫化矽的至少一者。舉例來說,浸入層108的沉積方法可為原位浸入製程而不破真空。舉例來說,可熱分解矽前驅物、電漿分解矽前驅物、或進行其他合適的沉積製程進行浸入步驟,以沉積矽於導電功函數層106B及106C的一或多者上。矽前驅物可為矽烷、乙矽烷、丙矽烷、上述之組合、其他合適的矽前驅物、或上述之組合。
在特定實施例中,浸入層108的沉積厚度為約0.5 Å至約15 Å,比如約3 Å至約10 Å。浸入層108有助於保護導電功函數層106B及106C。若浸入層108過薄,則氧化其他汙染物可能擴散穿過浸入層108至一或多個下方層。舉例來說,若氧擴散至界面層242中則可能負面影響結構特性,比如改變電晶體的臨界電壓。
在特定實施例中,提供矽前驅物的流速為約300 sccm至約500 sccm。在一些實施例中,可提供額外製程氣體及/或載氣如氫氣。在特定實施例中,浸入步驟的溫度可為約350℃至約475℃,而壓力可為約12 torr至約25 torr。若浸入矽前驅物的溫度過低,則矽前驅物無法充分分解以形成矽層於導電功函數層106B及106C上。舉例來說,形成矽層、氧化矽層、或氫化矽層的方法如式I所示:
SiH 4 (g)→Si (s)+2H 2 (g)(I)
若浸入矽前驅物時的溫度過高,則難以控制矽材料的沉積速率。
在特定實施例中,以流速提供矽前驅物的時間為約100秒至約600秒。
在一些實施例中,上述時間取決於導電功函數層106B及106C的總厚度。綜上所述,浸入層108的厚度與導電功函數層106B及106C的總厚度相關。舉例來說,對具有總厚度較薄的導電功函數層106B及106C的電晶體而言,提供矽前驅物的時間較長,且浸入層108的塗層厚度因此增加。對具有總厚度較厚的導電功函數層106B及106C的電晶體而言,提供矽前驅物的時間較短,且浸入層108的塗層厚度因此減少。
舉例來說,一些實施例的第一電晶體中,導電功函數層106B及106C各自的總厚度為約5 Å,而浸入層108的厚度為約10 Å。第二電晶體中,導電功函數層106B及106C各自的總厚度為約10 Å,而浸入層108的厚度為約5 Å。在一些實施例中,第一電晶體中的導電功函數層106B或106C的總厚度可為約5 Å,而提供矽前驅物的時間可為約500秒。第二電晶體中的導電功函數層106B或106C的總厚度為約10 Å,而提供矽前驅物的時間可為約200秒。
在特定實施例中,可在相同的整合製程系統中進行步驟18及20的所有步驟或者一或多個步驟,而不需暴露結構至環境或大氣。在一些實施例中,可在相同製程腔室中進行步驟18及20,或者採用一製程配方進行步驟18以沉積導電功函數層106B及106C並原位採用另一製程配方進行步驟20以沉積浸入層108。
圖1的製程10的步驟22可沉積填充金屬層110於浸入層108上,如圖18所示。在特定實施例中,填充金屬層110可包含鈦、氮化鈦、鉭、氮化鉭、碳化鉭、鎢、鈷、鋁、釕、銅、其他合適金屬、上述之多層、上述之組合、或類似物。填充金屬層110的沉積方法可為合適製程如化學氣相沉積、物理氣相沉積、濺鍍、原子層沉積、電漿輔助化學氣相沉積、鍍製法、或其他沉積製程。
在一些實施例中,可沉積黏著層(未圖示)於浸入層108上,且沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、及/或其他合適製程。接著可沉積填充金屬層110於黏著層上。黏著層具有多重目的。舉例來說,黏著層採用的材料可促進或增進後續形成於黏著層上的填充金屬層110對黏著層的黏著力。黏著層亦可提供所需的功函數並調整後續形成的電晶體的臨界電壓。
在一些實施例中,p型鰭狀場效電晶體所用的第一黏著層包括p型功函數金屬層,而n型鰭狀場效電晶體所用的第二黏著層包括n型功函數金屬層。在一些實施例中,p型鰭狀場效電晶體與n型鰭狀場效電晶體採用相同黏著層。在一些實施例中,p型鰭狀場效電晶體與n型鰭狀場效電晶體中只有一者採用黏著層。
在一實施例中,鰭狀物上的黏著層的厚度較小(如小於3 nm,或約2 nm至約3 nm),以達鰭狀場效電晶體所用的設計功函數。在一些實施例中,p型鰭狀場效電晶體與n型鰭狀場效電晶體之一者上的黏著層較厚,而p型鰭狀場效電晶體與n型鰭狀場效電晶體之另一者上的黏著層較薄。
鰭狀場效電晶體裝置所需的整體臨界電壓可影響與決定黏著層的金屬與厚度的選擇。
例示性的p型功函數金屬包括鈦、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、及/或上述之組合。例示性的n型功函數金屬包括鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、及/或上述之組合。在一些實施例中,黏著層不明顯影響功函數(比如維持較薄的黏著層),因為功函數實質上取決於導電功函數層106B及106C。
圖1的製程10的步驟22進行平坦化製程如化學機械研磨以移除界面層100、閘極介電層102、與填充金屬層110在層間介電層90的上表面之上的多餘部分,如圖19所示。填充金屬層110的保留部分形成閘極120,其可與其他層形成最終鰭狀場效電晶體的置換閘極。界面層100、閘極介電層102、蓋層116、與閘極120可一起視作最終鰭狀場效電晶體的閘極或閘極堆疊。閘極堆疊可沿著鰭狀物58的通道區的側壁延伸。
圖1的製程10的步驟24可對結構進行後續製程,如圖20所示。層間介電層130形成於閘極堆疊與層間介電層90上。在一實施例中,層間介電層130為可流動的化學氣相沉積法所形成的可流動膜。在一些實施例中,層間介電層130的組成為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿輔助化學氣相沉積。
形成源極/汲極接點132與閘極接點134以穿過層間介電層90及130。形成源極/汲極接點132所用的開口以穿過層間介電層90及130,並形成閘極接點134所用的開口以穿過層間介電層130。開口的形成方法可採用可接受的光微影與蝕刻技術。可形成襯墊層(如擴散阻障層、黏著層、或類似物)與導電材料於開口中,襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自層間介電層130的表面移除多餘材料。保留的襯墊層與導電材料可形成源極/汲極接點132與閘極接點134於開口中。可進行退火製程以形成矽化物於磊晶源極/汲極區86與源極/汲極接點132之間的界面。源極/汲極接點132物理與電性耦接至磊晶源極/汲極區86,且閘極接點134物理與電性耦接至閘極120。源極/汲極接點132與閘極接點134可形成於不同製程中,亦可形成於相同製程中。雖然源極/汲極接點132與閘極接點134在圖式中形成於相同剖面,但應理解其可形成於不同剖面以避免短接接點。
圖21係製程10的步驟12所形成的奈米結構半導體裝置(如電晶體201N或201P)所用的初始半導體結構的三維圖。圖22係半導體裝置如電晶體201N及201P沿著參考剖面A-A的剖視圖。在所述例子中,電晶體201N用於n型場效電晶體,而電晶體201P為p型裝置。
如圖21及22所示,初始的半導體結構形成於基板204上。基板204為含矽的積體基板。在其他或額外實施例中,基體基板包括另一半導體元素(如鍺)、半導體化合物(如碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、氧化鋅、硒化鋅、硫化鋅、碲化鋅、硒化鎘、硫化鎘、及/或碲化鎘)、半導體合金(如矽鍺、碳磷化矽、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、其他III-V族半導體材料、其他II-VI族材料、或上述之組合。在一些實施例中,基板204可包含銦錫氧化物玻璃或絕緣層上矽玻璃,或具有應力及/或應變以增進效能。
基板204可包括多種摻雜區。在一些實施例中,基板204包括摻雜n型摻質如磷(31P)、砷、其他n型摻質、或上述之組合的n型摻雜區(如n型井)。在一些實施例中,基板204包括摻雜p型摻質如磷(11B或二氟化硼)、銦、其他p型摻質、或上述之組合的p型摻雜區(如p型井)。在一些實施例中,基板204包括的摻雜區具有p型摻質與n型摻質的組合。舉例來說,多種摻雜區可直接形成於基板204之上及/或之中,以提供p型井結構、n型井結構、雙井結構、***結構、或上述之組合。可進行離子佈植製程、擴散製程、及/或其他合適的摻雜製程,以形成多種摻雜區。
半導體結構亦可包含半導體層堆疊210形成於基板204上。在所述實施例中,堆疊210包括交錯的半導體層,比如含有第一半導體材料的半導體層210A與含有第二半導體材料的半導體層210B,且第二半導體材料與第一半導體材料不同。半導體層210A及210B中的半導體材料不同,以具有不同的氧化速率及/或不同的蝕刻選擇性。在一些實施例中,半導體層210B的第二半導體材料可與基板204的材料相同。舉例來說,半導體層210A包括矽鍺,而半導體層210B包括矽(如基板204)。因此堆疊210由下至上可包含交錯的矽鍺層/矽層/矽鍺層/矽層…。在一些實施例中,堆疊中的頂部的半導體層的材料可與底部的半導體層的材料相同或不同。舉例來說,對含有交錯的矽鍺層與矽層的堆疊而言,底部的半導體層可包含矽鍺,而頂部的半導體層可包括矽或矽鍺。在所述實施例中,底部的半導體層210A包括矽鍺,而頂部的半導體層210B包括矽。在一些實施例中,半導體層210B可未摻雜或實質上無摻質。換言之,在形成半導體層210B時不刻意進行摻雜。在一些其他實施例中,半導體層210B可摻雜p型摻質如硼(硼、11B、或二氟化硼)、鎵、或上述之組合,或n型摻質如磷(磷、31P)、砷、或上述之組合。堆疊210中的半導體層210A及210B的數目不受限制。舉例來說,堆疊210可包含一至十層的半導體層210A與一至十層的半導體層210B。在一些實施例中,堆疊210中不同的半導體層210A及210B在Z方向中具有相同厚度。在一些其他實施例中,堆疊210中不同的半導體層210A及210B具有不同厚度。
可採用任何合適製程形成堆疊210於基板204上。在一些實施例中,可由合適的磊晶製程形成半導體層210A及/或210B。舉例來說,可交錯形成含矽鍺的半導體層與含矽的半導體層於基板204上,且形成方法可為分子束磊晶製程、化學氣相沉積製程如有機金屬化學氣相沉積製程、及/或其他合適的磊晶成長製程。之後可對半導體層進行微影與蝕刻製程,以形成鰭狀堆疊210 (包含半導體層210A及210B),如圖22所示。鰭狀堆疊210沿著X方向延伸,並包括通道區208、源極區、與汲極區(之後均可視作源極/汲極區207),見圖21。通道區208夾設於源極/汲極區207之間。如圖21所示,平面A-A’沿著堆疊210的通道區208。
半導體結構亦包括隔離結構206形成於基板204上,以分開並隔離主動區。在一些實施例中,可沿著堆疊210的側壁沉積一或多種介電材料如氧化矽及/或氮化矽於基板204上。介電材料層的沉積方法可為化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、熱氧化、或其他技術。之後可使介電材料凹陷(比如由蝕刻方法)以形成隔離結構206。在一些實施例中,隔離結構206的上表面可與最下側的半導體層210A的下表面共平面,或低於最下側的半導體層210A的下表面,如圖21及22所示。
半導體結構亦包括閘極間隔物212形成於堆疊210上。在一些實施例中,閘極間隔物212包括介電材料如氧化矽、氮化矽、氮氧化矽、或碳化矽。閘極間隔物212的形成方法可為任何合適製程。舉例來說,可先形成虛置閘極堆疊(含多晶矽,未圖示)於堆疊210的通道區208上。接著沉積含介電材料的間隔物層於基板204與虛置閘極堆疊上,且沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、或其他合適製程。之後可非等向蝕刻間隔物層,以移除X-Y平面(基板204的上表面所在的平面)中的部分。間隔物層的保留部分轉變為閘極間隔物212。
之後可沿著閘極間隔物212的側壁使堆疊210的源極/汲極區207凹陷,並形成內側間隔物(未圖示)於半導體層210B的邊緣之間。在一些實施例中,可沿著閘極間隔物212進行源極/汲極蝕刻製程,使堆疊210的源極/汲極區207凹陷而形成源極/汲極溝槽。源極/汲極蝕刻製程可為乾蝕刻、濕蝕刻、或上述之組合。可由時控方式進行源極/汲極蝕刻製程,使源極/汲極溝槽中露出半導體層210A及210B的側壁。之後可由合適的蝕刻製程選擇性移除源極/汲極溝槽中露出的半導體層210A的部分(邊緣),以形成間隙於相鄰的半導體層210B之間。換言之,半導體層210B的邊緣懸空於源極/汲極區207中。之後可形成內側間隔物(未圖示)以填入相鄰的半導體層210B之間的間隙。內側間隔物包含的介電材料可與閘極間隔物的材料類似,比如氧化矽、氮化矽、氮氧化矽、碳化矽、或上述之組合。內側間隔物的介電材料沉積於源極/汲極溝槽之中與半導體層210B之間的間隙之中的方法,可為化學氣相沉積、物理氣相沉積、原子層沉積、或上述之組合。可沿著閘極間隔物212的側壁移除多餘介電材料,直到露出源極/汲極溝槽中的半導體層210B的側壁。
之後形成磊晶源極/汲極結構214於堆疊210的源極/汲極區207中。在一些實施例中,磊晶源極/汲極結構214可包含半導體材料(如矽或鍺)、半導體化合物(如矽鍺、碳化矽、砷化鎵、或類似物)、半導體合金、或上述之組合。可實施磊晶製程以磊晶成長源極/汲極結構214。磊晶製程可包括化學氣相沉積(如超高真空磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿輔助化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。磊晶源極/汲極結構214可摻雜n型摻質及/或p型摻質。在一些實施例中,磊晶源極/汲極結構214可包括多個磊晶半導體層,且不同的磊晶半導體層所包含的摻質量不同。
半導體結構亦包括層間介電層216形成於基板204上。如圖21所示,層間介電層216沿著閘極間隔物212並覆蓋隔離結構206與磊晶源極/汲極結構214。在一些實施例中,層間介電層216包括低介電常數的介電材料如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、其他合適的介電材料、或上述之組合。層間介電層216可包含多種介電材料的多層結構,且其形成方法可為沉積製程如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。在一些實施例中,蝕刻停止層(未圖示)包括介電材料如氧化矽、氮氧化矽、氮化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽,且可沉積於層間介電層216與隔離結構206之間以及層間介電層216與磊晶源極/汲極結構214之間。
在形成層間介電層216之後,可移除虛置閘極堆疊以形成閘極溝槽而露出堆疊210的通道區208。在一些實施例中,移除虛置閘極堆疊的方法包括一或多道蝕刻製程,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、或其他蝕刻技術。
如圖1及23所示,步驟12進行通道釋放製程,以自閘極溝槽移除半導體層210A。如此一來,半導體層210B懸空於通道區中。懸空的通道層210B (亦可式作通道半導體層)可一起視作堆疊結構。移除半導體層210A的方法可為選擇性蝕刻製程,其可調整為只移除半導體層210A,而半導體層210B維持實質上不變。選擇性蝕刻可為選擇性濕蝕刻、選擇性乾蝕刻、或上述之組合。在一些實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨的蝕刻劑。在一些實施例中,選擇性移除半導體層210A的方法可包括氧化製程與之後的氧化物移除製程。舉例來說,矽鍺的氧化製程可包含形成與圖案化多種遮罩層,以控制氧化至矽鍺的半導體層210A。在其他實施例中,矽鍺的氧化製程為選擇性氧化,因為半導體層210A及210B的組成不同。在一些實施例中,可暴露結構至濕式氧化製程、乾式氧化製程、或上述之組合,以進行矽鍺的氧化製程。之後可由蝕刻劑如氫氧化銨或稀氫氟酸移除氧化的半導體層210A (如矽鍺氧化物)。
如圖23所示,堆疊結構各自包括彼此分開且沿著Z方向堆疊的通道半導體層210B,且Z方向通常垂直於基板204的上表面(X-Y平面)。在一些實施例中,步驟12稍微蝕刻或不蝕刻半導體層210B。此外,半導體層210B可為任何合適形狀,比如線狀、片狀、或其他幾何形狀(用於其他堆疊結構的電晶體)。半導體層210B在Z方向中各自具有厚度T1,而相鄰的懸空半導體層210B在Z方向中隔有空間S1。在一些實施例中,厚度T1為約3 nm至約20 nm。在一些實施例中,空間S1為約5 nm至約15 nm。
如圖1及24所示,步驟14形成界面層242於電晶體201N及201P的半導體層210B周圍。在一些實施例中,界面層242亦可形成於基板204與隔離結構206上。界面層242的材料可包含氧化矽、氮氧化矽、氧化鉿矽、其他合適材料、或上述之組合。可進行沉積製程形成界面層242以包覆懸空的半導體層210B。沉積製程可包含化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、或上述之組合。在一些其他實施例中,界面層242的形成方法為氧化製程。舉例來說,在半導體層210B包括矽的例子中,可暴露結構至濕式氧化製程、乾式氧化製程、或上述之組合。如此一來,可形成含氧化矽的薄層於每一半導體層210B的周圍以作為界面層242。界面層242的厚度T3 (在Z方向中)可為約6 Å至約15 Å。
如圖1及25所示,步驟14形成閘極介電層244於界面層242周圍。在一些實施例中,閘極介電層244包括高介電常數的介電材料如氮化矽、氧化矽、氧化鉿、氧化鋯、氧化鑭、氧化鈦、氧化釔、鈦酸鍶其他合適的金屬氧化物、或上述之組合。在一些實施例中,閘極介電層244的沉積方法可為原子層沉積及/或其他合適方法。在一些實施例中,閘極介電層244的厚度T4 (在Z方向中)可為約15 Å至約18 Å。厚度T4不可過薄或過厚。若厚度T4過薄則容易破裂。若厚度T4過厚則占據過多空間。
如圖1及26所示,步驟16沉積一或多個預處理層246於閘極介電層244上。一或多個預處理層246可用於預處理閘極介電層244,使電晶體達到可接受的界面捕獲密度。電晶體所用的預處理層246可包含鋁為主的合金、鋁為主的金屬碳化物、或鋁為主的金屬氮化物,比如鉭鋁、碳化鉭鋁、氮化鉭鋁、鈦鋁、碳化鈦鋁、氮化鈦鋁、碳氧化鋁(碳小於約30%、約25%、約20%、約15%、或約10%)、其他合適的預處理層的材料、或上述之組合。
一或多個預定層246的總厚度可為約2.5 Å至約30 Å。舉例來說,一或多個預定層246的總厚度小於約2.5 Å。在一些實施例中,一或多個預定層246的總厚度可為約2.5 Å、約5 Å、約7.5 Å、約10 Å、約12.5 Å、約15 Å、約17.5 Å、約20 Å、約22.5 Å、約25 Å、約27.5 Å、或約30 Å。在一些實施例中,一或多個預處理層246的總厚度可大於約30 Å。在一些實施例中,電晶體201N的一或多個預處理層246與電晶體201P的一或多個預處理層246具有相同或實質上相同的厚度。在一些實施例中,電晶體201N的一或多個預處理層246與電晶體201P的一或多個預處理層246具有不同厚度。
在一些實施例中,可順應性沉積一或多個預處理層246,且沉積製程可為電漿輔助化學氣相沉積、有機金屬化學氣相沉積、原子層沉積、循環沉積、或其他合適的沉積製程。
舉例來說,一些實施例的一或多個預處理層246各自的形成方法可採用原子層沉積製程。舉例來說,原子層沉積製程可採用含鋁前驅物如三乙基鋁、三甲基鋁、與三氯化鋁的一或多者。在一些實施例中,可採用一或多種其他前驅物。舉例來說,可採用氯化鈦與氯化鉭的至少一者。在一些實施例中,溫度可介於約250℃至約475℃之間、介於約200℃至約500℃之間、介於約300℃至約425℃之間、或介於約350℃至約375℃之間。亦可採用其他溫度。在一些實施例中,浸入時間(或脈衝時間)可小於約60秒、約50秒、約40秒、約30秒、約20秒、或約10秒。在一些實施例中,浸入時間(或脈衝時間)可介於約10秒至約40秒之間、介於約15秒至約30秒之間、介於約20秒至約25秒之間、介於約15秒至約25秒之間、介於約25秒至約30秒之間、介於約23秒至約27秒之間。亦可採用其他浸入時間或脈衝時間。在一些實施例中,壓力小於約15 T、約13 T、約12 T、約11 T、約10 T、約9 T、約8 T、約7 T、約6 T、或約5 T。舉例來說,壓力可介於約5 T至約12 T之間、介於約6 T至約14 T之間、介於約7 T至約13 T之間、介於約8 T至約12 T之間、或介於約9 T至約11 T之間。亦可採用其他壓力。在一些實施例中,浸入時間(或脈衝時間)可小於約30秒。亦可採用其他浸入或脈衝時間。在一些實施例中,壓力小於約10 Torr。在一些實施例中,可採用其他前驅物。在一些實施例中,所有的預處理層246的形成方法可採用原子層沉積製程的1、2、3、4、5、6、7、8、9、10、或更多次的循環。
如圖1及27所示,步驟18沉積一或多個導電功函數層248N及248P於一或多個預處理層246上。可選擇一或多個導電功函數層248N及248P以調整電晶體裝置的功函數值,以達電晶體所需的臨界電壓。n型電晶體裝置的閘極結構所用的一或多個導電功函數層248N及248P的材料例子包括鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的功函數材料、或上述之組合。p型電晶體裝置的閘極結構所用的一或多個導電功函數層248N及248P的材料例子包括氮化鉭、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他合適的功函數材料、或上述之組合。
一或多個導電功函數層248N及248P各自的厚度選擇,可使電晶體達到所需的臨界電壓。舉例來說,一或多個導電功函數層248N及248P各自的厚度可為約2.5  Å至約30 Å。舉例來說,一或多個導電功函數層248N及248P的總厚度可小於約2.5 Å。在一些實施例中,一或多個導電功函數層248N及248P的總厚度可為約2.5 Å、約5 Å、約7.5 Å、約10 Å、約12.5 Å、約15 Å、約17.5 Å、約20 Å、約22.5 Å、約25 Å、約27.5 Å、或約30 Å。在一些實施例中,一或多個導電功函數層248N及248P的總厚度可大於約30 Å。
在一些實施例中,第一n型電晶體結構的一或多個導電功函數層248N與第二n型電晶體結構的一或多個導電功函數層248N具有不同厚度。使第一n型電晶體的一或多個導電功函數層248N與第二n型電晶體結構的一或多個導電功函數層248N具有不同厚度的製程,可形成一或多個導電功函數層248N的第一者於第一電晶體與第二電晶體上、形成遮罩層於第一電晶體上、形成一或多個導電功函數層248N的第二者於第一電晶體與第二電晶體上、以及移除遮罩層與其上的一或多個導電功函數層248N的第二者的部分。如此一來,在進行上述製程之後,一或多個導電功函數層248N的第一者形成於第一電晶體上,一或多個導電功函數層248N的第二者未形成於第一電晶體上,而一或多個導電功函數層248N的第一者與第二者均形成於第二電晶體上。亦可採用其他方法產生具有不同厚度的一或多個導電功函數層248N的電晶體。由於第一n型電晶體與第二n型電晶體具有不同厚度的一或多個導電功函數層248N,第一n型電晶體與第二n型電晶體具有不同的臨界電壓。
在一些實施例中,第一p型電晶體結構的一或多個導電功函數層248P與第二p型電晶體結構的一或多個導電功函數層248P具有不同厚度。使第一p型電晶體的一或多個導電功函數層248P與第二p型電晶體結構的一或多個導電功函數層248P具有不同厚度的製程,可形成一或多個導電功函數層248P的第一者於第一電晶體與第二電晶體上、形成遮罩層於第一電晶體上、形成一或多個導電功函數層248P的第二者於第一電晶體與第二電晶體上、以及移除遮罩層與其上的一或多個導電功函數層248P的第二者的部分。如此一來,在進行上述製程之後,一或多個導電功函數層248P的第一者形成於第一電晶體上,一或多個導電功函數層248P的第二者未形成於第一電晶體上,而一或多個導電功函數層248P的第一者與第二者均形成於第二電晶體上。亦可採用其他方法以產生具有不同厚度的一或多個導電功函數層248P的電晶體。第一p型電晶體與第二p型電晶體的一或多個導電功函數248P的厚度不同,造成第一p型電晶體與第二p型電晶體具有不同的臨界電壓。
在一些實施例中,第一n型電晶體的一或多個導電功函數層248N之總厚度,為第二n型電晶體的一或多個導電功函數層248N的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度比例。
在一些實施例中,第一p型電晶體的一或多個導電功函數層248P之總厚度,為第二p型電晶體的一或多個導電功函數層248P的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度比例。
在一些實施例中,第一n型電晶體或第二n型電晶體的一或多個導電功函數層248N之總厚度,為第一p型電晶體或第二p型電晶體的一或多個導電功函數層248P的總厚度的約0.3倍、約0.4倍、約0.5倍、約0.6倍、約0.7倍、約0.8倍、約0.9倍、約1.0倍、約1.1倍、約1.2倍、約1.3倍、約1.4倍、約1.5倍、約1.6倍、約1.7倍、約1.8倍、約1.9倍、或約2.0倍。亦可採用其他總厚度比例。
如圖1及28所示,步驟20沉積塗層或浸入層250於導電功函數層248N及248P上,並填入半導體層210B之間的保留間隙中。在特定實施例中,浸入層250包括矽、氧化矽、與氫化矽的至少一者。舉例來說,浸入層250的沉積方法可為原位浸入製程而不破真空。舉例來說,可熱分解矽前驅物、電漿分解矽前驅物、或進行其他合適的沉積製程進行浸入步驟,以沉積矽於導電功函數層248N及248P的一或多者上。矽前驅物可為矽烷、乙矽烷、丙矽烷、上述之組合、其他合適的矽前驅物、或上述之組合。
在特定實施例中,浸入層250的沉積厚度可為約0.5 Å至約15 Å,比如約3 Å至約10 Å。浸入層250有助於保護導電功函數層248N及248P。浸入層250足夠厚,使氧或其他汙染物不會或實質上不會擴散穿過浸入層250至一或多個下方層。舉例來說,若氧擴散至界面層242中,可能負面影響結構特性,比如改變電晶體的臨界電壓。
在特定實施例中,提供矽前驅物的流速可為約300 sccm至約500 sccm。在一些實施例中,亦可提供額外製程氣體及/或載氣,比如氫氣。在特定實施例中,浸入製程的溫度為約350℃至約475℃,而壓力為約12 torr至約25 torr。若在浸入矽前驅物時的溫度過低,則矽前驅物無法充分分解以形成矽層於導電功函數層248N及248P上。舉例來說,形成矽層、氧化矽層、或氫化矽層的方法如式I所示:
SiH 4 (g)→Si (s)+2H 2 (g)(I)
若在浸入矽前驅物時的溫度過高,則難以控制矽材料的沉積速率。
在特定實施例中,以流速提供矽前驅物的時間可為約100秒至約600秒。
在一些實施例中,時間取決於導電功函數層248N及248P的總厚度。綜上所述,浸入層250的厚度可與導電功函數層248N及248P的總厚度相關。舉例來說,對具有總厚度較小的導電功函數層248N及248P的電晶體而言,提供矽前驅物的時間較長,而浸入層250的塗層厚度增加。對具有總厚度較大的導電功函數層248N及248P的電晶體而言,提供矽前驅物的時間較短,而浸入層250的塗層厚度減少。
舉例來說,一些實施例的第一電晶體中,導電功函數層248N及248P各自的總厚度為約5 Å,而浸入層250的厚度為約10 Å。第二電晶體中,導電功函數層248N及248P各自的總厚度為約10 Å,而浸入層250的厚度為約5 Å。在一些實施例中,第一電晶體中的導電功函數層248N或248P的總厚度可為約5 Å,而提供矽前驅物的時間可為約500秒。第二電晶體中的導電功函數層248N或248P的總厚度為約10 Å,而提供矽前驅物的時間可為約200秒。
在特定實施例中,可在相同的整合製程系統中進行步驟18及20的所有步驟或者一或多個步驟,而不需暴露結構至環境或大氣。在一些實施例中,可在相同製程腔室中進行步驟18及20,或者採用一製程配方進行步驟18以沉積導電功函數層248N及248P並原位採用另一製程配方進行步驟20以沉積浸入層250。
如圖1及29所示,圖1的製程10的步驟22可沉積填充金屬層264於浸入層250上。在特定實施例中,填充金屬層264可包含鈦、氮化鈦、鉭、氮化鉭、碳化鉭、鎢、鈷、鋁、釕、銅、其他合適金屬、上述之多層、上述之組合、上述之多層、或類似物。填充金屬層264的沉積方法可為合適製程如化學氣相沉積、物理氣相沉積、濺鍍、原子層沉積、電漿輔助化學氣相沉積、濺鍍、或其他沉積製程。
在一些實施例中,可沉積黏著層(未圖示)於浸入層250上,且沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、及/或其他合適製程。接著可沉積填充金屬層264於黏著層上。黏著層採用的材料可促進或增進形成於黏著層上的填充金屬層264對黏著層的黏著性。例示性的黏著層的材料可包含鈦、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、及/或上述之組合。
如圖1及29所示,製程10的步驟24進行後續製程以完成製作電晶體。舉例來說,製程10的步驟24亦可形成多種接點或通孔270、金屬線路、以及其他多層內連線結構如層間介電層272與內連線層,其設置以連接多種結構而形成功能電路(其可包含半導體裝置)。
圖30係採用一或多個預處理層後,改善電晶體閘極有效功函數的圖式。如圖所示,靠近線段B的區域中的導電功函數層厚度較大,而未預處理的電晶體其有效功函數與導電功函數層厚度之間具有實質上線性的相對關係(其斜率較固定)。然而在靠近線段C的區域中的導電功函數層厚度較小,而未預處理的電晶體其有效功函數與導電功函數層厚度之間的相對關係變化極大,使較薄的導電功函數層的厚度只少量改變或不改變有效功函數。有時未預處理的電晶體其有效功函數與導電功函數層的厚度之間的相對關係,使較薄的導電功函數層厚度造成較大的有效功函數。
如圖所示,以2或3個預處理循環形成的電晶體,其有效功函數與導電功函數層的總厚度之間具有線性關係,使較薄的導電功函數層總厚度可達較低的有效功函數。
圖31顯示預處理與未預處理的電晶體之電容對閘極電壓的圖式。圖式顯示採用一或多個預處理層可改善電晶體的閘極界面捕獲密度。如圖所示,兩種電晶體的閘極電壓增加均會形成導電通道,且可預期電容增加。然而未預處理的電晶體會造成不能接受的界面捕獲密度,而預處理的電晶體具有良好的界面捕獲密度效能。在一些實施例中,預處理的電晶體所用的界面捕獲密度可小於1x10 10/cm 2eV。
如上詳述,具有一或多個預處理層的電晶體可用於薄閘極堆疊,其具有可控制的低有效功函數與良好的界面捕獲密度效能。綜上所述,具有一或多個預處理層的電晶體易於製造、具有可調的臨界電壓、且具有良好的界面捕獲密度效能。
本發明一實施例關於半導體裝置的形成方法。方法包括形成含有第一閘極堆疊的第一電晶體於半導體基板的第一區中,至少包括:形成第一高介電常數的介電層於半導體基板上,形成第一預處理層於第一高介電常數的介電層上,以及形成第一導電功函數層於第一預處理層上,其中第一導電功函數層具有第一導電功函數層厚度。形成第一電晶體的步驟亦包括形成第一塗層於第一導電功函數層上,其中第一閘極堆疊具有第一有效功函數。方法亦包括形成含有第二閘極堆疊的第二電晶體於半導體基板的第二區中,至少包括:形成第二高介電常數的介電層於半導體基板上,形成第二預處理層於第二高介電常數的介電層上,形成第二導電功函數層於第二預處理層上,其中第二導電功函數層具有第二導電功函數層厚度。形成第二電晶體的步驟亦包括形成第二塗層於第二導電功函數層上,其中第二閘極堆疊具有第二有效功函數。第一導電功函數層厚度大於該第二導電功函數層厚度,且第一有效功函數大於第二有效功函數。
在一些實施例中,第一電晶體與第二電晶體的至少一者具有鰭狀場效電晶體或奈米結構電晶體的結構。
在一些實施例中,第一預處理層與第二預處理層各自包括鋁。
在一些實施例中,第一預處理層與第二預處理層各自包括碳。
在一些實施例中,形成第一預處理層於第一高介電常數的介電層上的步驟與形成第二預處理層於第二高介電常數的介電層上的步驟各自包括進行原子層沉積的2或3次循環,其中至少一原子層沉積的循環採用一或多種前驅物,且前驅物係三乙基鋁、三甲基鋁、氯化鋁、氯化鈦、或氯化鉭。
在一些實施例中,第一預處理層具有第一預處理層厚度,第二預處理層具有第二預處理層厚度,且第一預處理層厚度大致等於第二預處理層厚度。
在一些實施例中,第一預處理層具有第一預處理層厚度,第二預處理層具有第二預處理層厚度,第一導電功函數層厚度與第一預處理層厚度的比例介於約0.7至約1.3之間,且第二導電功函數層厚度與第二預處理層厚度的比例介於約0.3至約0.7之間。
在一些實施例中,第一導電功函數層厚度與第二導電功函數層厚度的比例介於約1.5至約2.5之間。
本發明另一實施例關於半導體裝置的形成方法。方法包括形成含有閘極堆疊的電晶體於半導體基板上,至少包括:形成高介電常數的介電層於半導體基板上,形成預處理層於高介電常數的介電層上,依據電晶體的目標有效功函數決定導電功函數層的厚度,形成導電功函數層於預處理層上,其中導電功函數層的導電功函數層厚度實質上等於決定的厚度。形成電晶體的方法亦包括形成塗層於導電功函數層上。閘極堆疊依據決定的厚度具有調整的有效功函數。
在一些實施例中,預處理層包括鋁。
在一些實施例中,預處理層包括碳。
在一些實施例中,形成預處理層於高介電常數的介電層上的步驟包括進行原子層沉積的2或3次循環,其中至少一原子層沉積的循環採用一或多種前驅物,且前驅物係三乙基鋁、三甲基鋁、氯化鋁、氯化鈦、或氯化鉭。
在一些實施例中,電晶體具有鰭狀場效電晶體或奈米結構電晶體的結構。
本發明又一實施例關於半導體裝置,其具有第一電晶體,包括第一閘極堆疊於半導體基板的第一區中,且第一閘極堆疊包括第一高介電常數的介電層。第一電晶體亦包括第一初始層位於第一高介電常數的介電層上,以及第一導電功函數層位於第一初始層上,其中第一導電功函數層具有第一導電功函數層厚度。第一電晶體亦包括第一塗層位於第一導電功函數層上,其中第一閘極堆疊具有第一有效功函數。半導體裝置亦包括第二電晶體,包括第二閘極堆疊於半導體基板的第二區中,且第二閘極堆疊包括第二高介電常數的介電層。第二電晶體亦包括第二初始層位於第二高介電常數的介電層上,以及第二導電功函數層位於第二初始層上,其中第二導電功函數層具有第二導電功函數層厚度。第二電晶體亦包括第二塗層位於第二導電功函數層上,其中第二閘極堆疊具有第二有效功函數。第一導電功函數層厚度大於第二導電功函數層厚度,且至少部分因為第一導電功函數層厚度大於第二導電功函數層厚度而造成第一有效功函數大於第二有效功函數。
在一些實施例中,第一電晶體與第二電晶體的至少一者具有鰭狀場效電晶體或奈米結構電晶體的結構。
在一些實施例中,第一初始層與第二初始層各自包括鋁。
在一些實施例中,第一初始層與第二初始層各自包括碳。
在一些實施例中,第一初始層具有第一初始層厚度,第二初始層具有第二初始層厚度,且第一初始層厚度大致等於第二初始層厚度。
在一些實施例中,第一初始層具有第一初始層厚度,第二初始層具有第二初始層厚度,第一導電功函數層厚度與第一初始層厚度的比例介於約0.7至約1.3之間,且第二導電功函數層厚度與第二初始層厚度的比例介於約0.3至約0.7之間。
在一些實施例中,第一導電功函數層厚度與第二導電功函數層厚度的比例介於約1.5至約2.5之間。
在上述說明與請求項中,「至少一」或「一或多者」之類的用語可能出現在單元或結構或特徵的連接詞之後。術語「及/或」也可以出現在兩個或多個單元或結構的列表中。除非上下文另有隱含或明確的矛盾,否則這些術語旨在表示單獨列出的任何單元或結構或其組合。舉例來說,用語「A 和 B 中的至少一者」、「A和B中的一或多者」、與「A 及/或 B」各自表示「單獨的 A、單獨的 B、 或 A與 B 一起」。類似的解釋也適用於包含三個或更多項目的列表。例如,用語「A、B 、及 C 中的至少一者」、「A、B、及C中的一或多者」、或「A、B、及/或C」各自表示「單獨A、單獨B、單獨C、A與B一起、A與C一起、B與C一起、或A與B與C一起」。上述內容與請求項中使用的術語「基於」表示「至少部分基於」,使未列舉的結構或單元亦屬可能。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A,B-B,C-C:參考剖面 A-A’:平面 B,C:線段 S1:空間 T1,T3,T4:厚度 10:製程 12,14,16,18,20,22,24:步驟 50,204:基板 50B,50C,58B,58C:區域 52,58:鰭狀物 54:絕緣材料 56:隔離區 60:虛置介電層 62:虛置閘極層 64:遮罩層 70:虛置閘極介電層 72:虛置閘極 74:遮罩 80:閘極密封間隔物 82:輕摻雜源極/汲極區 84:閘極間隔物 86,207:源極/汲極區 90,130,216,272:層間介電層 92:凹陷 100,242:界面層 102,244:閘極介電層 104,246:預處理層 106B,106C,248N,248P:導電功函數層 108,250:浸入層 110:填充金屬層 116:蓋層 120:閘極 132:源極/汲極接點 134:閘極接點 201N,201P:電晶體 206:隔離結構 208:通道區 210:堆疊 210A,210B:半導體層 212:閘極間隔物 214:源極/汲極結構 264:填充金屬層 270:接點或通孔
圖1係一些實施例中,製造半導體裝置的製程的流程圖。 圖2係一些實施例中,製造半導體裝置的一階段之半導體基板的透視圖。 圖3至9、10A、10B、10C、11至20係一些實施例中,製造高介電常數的介電層與金屬閘極結構的多種階段之半導體基板的剖視圖。 圖21係一些實施例中,製造半導體裝置的一階段之半導體基板的透視圖。 圖22至29係一些實施例中,製造高介電常數的介電層與金屬閘極結構的多種階段的半導體基板的剖視圖。 圖30係具有多種預處理程度的高介電常數的介電層與金屬閘極結構的有效功函數與厚度之間的關係圖。 圖31係預處理與未預處理的高介電常數的介電層與金屬閘極電晶體的電容與閘極電壓之間的關係圖。
Figure 111109210-A0304-11-0002-2
10:製程
12,14,16,18,20,22,24:步驟

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成含有一第一閘極堆疊的一第一電晶體於一半導體基板的一第一區中,至少包括: 形成一第一高介電常數的介電層於該半導體基板上, 形成一第一預處理層於該第一高介電常數的介電層上, 形成一第一導電功函數層於該第一預處理層上,其中該第一導電功函數層具有一第一導電功函數層厚度,以及 形成一第一塗層於該第一導電功函數層上, 其中該第一閘極堆疊具有一第一有效功函數;以及 形成含有一第二閘極堆疊的一第二電晶體於該半導體基板的一第二區中,至少包括: 形成一第二高介電常數的介電層於該半導體基板上, 形成一第二預處理層於該第二高介電常數的介電層上, 形成一第二導電功函數層於該第二預處理層上,其中該第二導電功函數層具有一第二導電功函數層厚度,以及 形成一第二塗層於該第二導電功函數層上, 其中該第二閘極堆疊具有一第二有效功函數, 其中該第一導電功函數層厚度大於該第二導電功函數層厚度,且其中該第一有效功函數大於該第二有效功函數。
TW111109210A 2021-03-31 2022-03-14 半導體裝置的形成方法 TW202240701A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163169015P 2021-03-31 2021-03-31
US63/169,015 2021-03-31
US17/568,654 US20220319932A1 (en) 2021-03-31 2022-01-04 Metal gate with pretreatment layer
US17/568,654 2022-01-04

Publications (1)

Publication Number Publication Date
TW202240701A true TW202240701A (zh) 2022-10-16

Family

ID=83449459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111109210A TW202240701A (zh) 2021-03-31 2022-03-14 半導體裝置的形成方法

Country Status (2)

Country Link
US (1) US20220319932A1 (zh)
TW (1) TW202240701A (zh)

Also Published As

Publication number Publication date
US20220319932A1 (en) 2022-10-06

Similar Documents

Publication Publication Date Title
TWI828806B (zh) 半導體裝置與其形成方法
CN110783195A (zh) 半导体装置的形成方法
US11682711B2 (en) Semiconductor device having multi-layered gate spacers
CN110957226A (zh) 半导体装置的形成方法
US20240113183A1 (en) Semiconductor device and method
TWI747601B (zh) 閘極電極的形成方法,電晶體及元件
CN113745221A (zh) 半导体器件及其形成方法
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
TW202303984A (zh) 半導體裝置及其製造方法
CN113594093A (zh) 半导体装置的形成方法
CN113206045A (zh) 半导体装置的形成方法
TW202240701A (zh) 半導體裝置的形成方法
TW202101599A (zh) 半導體裝置之形成方法
CN113851425A (zh) 形成半导体元件的方法
TWI843525B (zh) 半導體裝置及其形成方法
TWI803956B (zh) 半導體元件及其製造方法
CN219457627U (zh) 半导体装置
KR102454025B1 (ko) 게이트 전극 퇴적 및 게이트 전극 퇴적에 의해 형성되는 구조체
CN218498075U (zh) 半导体装置
TWI777605B (zh) 半導體裝置與其形成方法
TWI782638B (zh) 半導體元件及其製造方法
US20230268416A1 (en) Semiconductor Devices and Methods of Manufacture
TW202243030A (zh) 半導體裝置
TW202425101A (zh) 半導體裝置及其形成方法
TW202310418A (zh) 半導體裝置