TW202231912A - 蒸氣輸送裝置 - Google Patents

蒸氣輸送裝置 Download PDF

Info

Publication number
TW202231912A
TW202231912A TW110137481A TW110137481A TW202231912A TW 202231912 A TW202231912 A TW 202231912A TW 110137481 A TW110137481 A TW 110137481A TW 110137481 A TW110137481 A TW 110137481A TW 202231912 A TW202231912 A TW 202231912A
Authority
TW
Taiwan
Prior art keywords
valve
evaporator
fluid communication
processing chamber
processing system
Prior art date
Application number
TW110137481A
Other languages
English (en)
Inventor
撒迪厄斯 班福德
喬治 雷耶斯
埃米爾 德雷珀
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202231912A publication Critical patent/TW202231912A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01BBOILING; BOILING APPARATUS ; EVAPORATION; EVAPORATION APPARATUS
    • B01B1/00Boiling; Boiling apparatus for physical or chemical purposes ; Evaporation in general
    • B01B1/005Evaporation for physical or chemical purposes; Evaporation apparatus therefor, e.g. evaporation of liquids for gas phase reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

用於基板處理系統中之處理腔室的蒸發器組件,包含一儲存罐,其設置以儲存並加熱前驅物液體,以及裝設於儲存罐的蒸發器閥塊體。該蒸發器閥塊體包含一主體、裝設在主體上的複數閥、與該儲存罐流體連通的載氣入口、與儲存罐流體連通的前驅物液體入口、與儲存罐流體連通的蒸氣端口、以及與處理腔室流體連通的蒸氣出口。複數閥的各者係與蒸發器閥塊體內相應的流動路徑流體連通。

Description

蒸氣輸送裝置
本揭露內容係關於用於基板處理系統的氣體輸送系統。
[共同申請案之交互參照]本申請案主張美國臨時專利申請案第63/090,138號(申請於2020年10月9日)之優先權,以上引用的該優先權基礎案之整體揭露內容乃藉由參考文獻方式合併於此。
此處所提供之先前技術說明係為了大體上介紹本揭露內容之背景。在此先前技術章節所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本揭露內容之先前技術。
用於執行沉積和/或蝕刻的基板處理系統通常包括具有基座的處理腔室。在處理期間可將如半導體晶圓的基板布置在該基座上。可將包括一或更多前驅物的處理氣體混合物引入處理腔室中,以將薄膜沉積於基板上或蝕刻基板。在有些基板處理系統中,射頻(RF)電漿可在處理腔室中引燃和/或基座上的一RF偏壓可使用於活化化學反應。
氣體輸送系統中的諸多氣體流動路徑係使用於輸送處理氣體、載氣、氧化氣體、前驅物氣體和/或沖淨氣體至處理腔室。氣體流動路徑係藉由管道、閥、歧管、以及閥入口塊體中的氣體流動通道來定義。
用於基板處理系統中之處理腔室的蒸發器組件,包含一儲存罐,設置以儲存並加熱前驅物液體,以及一蒸發器閥塊體,裝設於該儲存罐上。該蒸發器閥塊體包含一主體;複數閥,裝設於該主體上;一載氣入口,與該儲存罐流體連通;一前驅物液體入口,與該儲存罐流體連通;一蒸氣端口,與該儲存罐流體連通;以及一蒸氣出口,與該處理腔室流體連通。其中複數閥的各者與包含在該蒸發器閥塊體內相應的流動路徑流體連通。
在其他特徵中,複數的閥包括第一閥、第二閥、第三閥、第四閥、以及第五閥。該第一閥、第二閥、第三閥、以及第四閥由該蒸發器閥塊體的第一側部水平地向外延伸。該第一閥、第二閥、第三閥、以及第四閥係布置為在與該蒸發器閥塊體的縱向軸平行的方向上,並排在該蒸發器閥塊體的第一側部。該第一閥、第二閥、第三閥、以及第四閥的縱向軸係垂直於該蒸發器閥塊體的縱向軸。
在其他特徵中,第五閥由該蒸發器閥塊體向上延伸。第五閥的縱向軸係垂直於該蒸發器閥塊體的縱向軸。第一閥係與一大量供應閥塊體流體連通,該大量供應閥塊體設置以選擇性地將前驅物液體供應至該儲存罐。第二閥係與載氣源、第三閥、以及第四閥流體連通。第三閥係與該載氣入口流體連通。該載氣入口係在橫向方向上偏離該第三閥。第四閥係與第五閥流體連通。
在其他特徵中,第五閥係與蒸氣端口與蒸氣出口流體連通。第一閥係與大量供應閥塊體流體連通,該大量供應閥塊體設置以選擇性地將該前驅物液體供應至該儲存罐。第二閥係與第一閥相鄰並且係與載氣源、第三閥、以及第四閥流體連通。第三閥係與第二閥相鄰並且係與該載氣入口流體連通。第四閥係與第三閥相鄰並且與第五閥流體連通。第五閥係布置在第四閥之上並且係與蒸氣端口和蒸氣出口流體連通。
在其他特徵中,載氣入口與蒸氣端口係位於該蒸發器閥塊體的相對側部上。該蒸發器組件更包含一入口管,該入口管由該前驅物液體入口向下延伸進入該儲存罐。入口管的上端包括在入口管之一側壁中的開口,其通過該側壁在該入口管的內部與該儲存罐之間提供流體連通。該蒸發器組件更包含一液位感測器,該液位感測器由該載氣入口向下延伸。該載氣入口係與該液位感測器對齊,使得流動通過該載氣入口的該載氣流動通過在該液位感測器內的管道並進入該儲存罐。該蒸發器閥塊體係裝設在該儲存罐的蓋子上。
透過實施方式、申請專利範圍及圖式,本揭露內容之其它應用領域將變得顯而易見。實施方式及特定範例僅用於說明之目的,其用意不在於限制揭示內容之範圍。
氣體輸送系統包括管道、閥、歧管和氣體流動通道的布置,以將氣體混合物供應至基板處理系統(例如,一基板處理系統,其設置以執行沉積處理,包括,但不限於:化學氣相沉積(CVD)、電漿增強CVD(PECVD)、以及熱原子層沉積(ALD))中相應的處理腔室或工作站。該氣體輸送系統更可設置以將蒸氣(例如,水蒸氣)供應至處理腔室。
在某些範例中,氣體輸送系統包括汽化器與液體流量控制器,其布置以使超純水(ultrapure water,UPW)或另一前驅物流動並汽化。汽化器可能具有高的反應時間(例如,介於0.5和5.0秒之間以斜線上升至全輸出)。然而,有些處理(例如,ALD處理)執行少於0.5秒(例如,少於0.25秒)的給劑步驟。在其他範例中,將安瓶使用於加熱水或另一前驅物以在一儲存罐內形成蒸氣並將載氣流動以挾帶蒸氣。相對於汽化器,安瓶可能具有較低的反應時間。然而,相對於汽化器,安瓶為昂貴的並且具有額外的設計困難(例如,需要額外的構件,例如:加熱器、複數感測器、以及其他電子元件)。
依據本揭露內容之氣體輸送系統和方法實施一整合的蒸發器組件,其設置以將蒸氣(例如,水蒸氣)產生並供應至處理腔室。該蒸發器組件包括一加熱的儲存罐(例如,電拋光的不鏽鋼儲存罐),其在真空狀態下儲存汽化的前驅物(例如,UPW)。整合的蒸發器閥塊體係裝設在儲存罐上(例如,裝設在儲存罐的蓋子上或與儲存罐的蓋子整合)。該蒸發器閥塊體包括複數的閥,其設置以提供相應的功能。例如,該蒸發器閥塊體包括五閥,其設置以分別用UPW填充儲存罐,將惰性載氣透過氣體入口流入儲存罐,將蒸氣由該儲存罐釋放至蒸氣出口,將液體(例如,該UPW)由填充管線沖淨而進入儲存罐中,並使載氣由氣體入口分流至該蒸氣出口。
蒸發器組件使系統複雜度與成本降低。例如,在單一閥塊體內整合複數閥簡化了複數閥的加熱、接取、以及維護。使加熱的均勻度提高並消除冷點、減少於諸多閥入口與出口內的粒子形成和累積。例如,可將閥塊體封閉在護套或包裹式加熱器中且可包括一或更多***式加熱器。
現在對照圖1,例示基板處理系統100包括具有反應容積的處理腔室112。在一些範例中,基板處理系統100係設置以執行電漿增強化學氣相沉積(CVD)或電漿增強原子層沉積(ALD)處理。可使用氣體分配裝置114(例如噴淋頭)將處理氣體混合物供應至處理腔室112。在一些範例中,該噴淋頭係枝形吊燈型的噴淋頭。可將基板118(例如半導體晶圓)在處理期間布置在基板支撐件116上。基板支撐件116可包括基座、靜電卡盤、機械卡盤、或其他類型的基板支撐件。
一或更多氣體輸送系統(GDS)120-1、120-2以及120-3可各自包括一或更多氣體源122-1、122-2、…、以及122-N(統稱為氣體源122),其中N為大於一的整數。閥124-1、124-2、…、以及124-N(統稱為閥124);質量流量控制器126-1、126-2、…、以及126-N(統稱為質量流量控制器126);或其他流量控制裝置可使用於供應一或更多氣體至歧管130,該歧管130通過閥入口塊體132將氣體混合物供應至處理腔室112。閥入口塊體132包括複數的閥並為供應至處理腔室112的氣體混合物定義相應的流動路徑。閥入口塊體132可包括一或更多轉向路徑以用於選擇性地將氣體轉向至真空或排氣。可提供一或更多附加的氣體輸送系統以在其他位置供應氣體或氣體混合物。
可將控制器136使用於監控處理參數,例如溫度、壓力等(使用一或更多感測器140)並且使用於控制處理時序。可將控制器136使用於控制處理裝置,例如氣體輸送系統120-1、120-2以及120-3、基板支撐件加熱器142、和/或RF電漿產生器146。亦可使用控制器136以利用閥150與幫浦152將處理腔室112抽空。
RF電漿產生器146在處理腔室中產生RF電漿。RF電漿產生器146可為電感或電容型RF電漿產生器。在某些實施例中,RF電漿產生器146可包括RF供應器160以及匹配與分配網路162。雖然RF電漿產生器146係顯示為連接至氣體分配裝置114且基板支撐件為接地或不接地的,但RF電漿產生器146可連接至基板支撐件116且氣體分配裝置114可為接地或不接地的。
依據本揭露內容,蒸發器組件170係設置以將汽化的前驅物(例如,水蒸氣)供應至閥入口塊體132。載氣係由載氣源174供應至蒸發器組件(例如,未於圖1中顯示,透過蒸發器閥塊體至儲存罐)170。液體(例如,UPW)係由大量液體源178透過大量供應閥塊體182供應至蒸發器組件170。
依據本揭露內容,於圖2A、2B、以及2C中更加詳細地描繪蒸氣供應系統200,其包括例示蒸發器組件204。蒸發器組件204包括儲存罐208以及裝設在儲存罐208上的蒸發器閥塊體212。例如,蒸發器閥塊體212係裝設在儲存罐208的蓋子216上或與蓋子216整合。蒸發器閥塊體212包括複數的閥(例如,閥220-1、220-2、220-3、220-4、以及220-5,統稱為閥220)裝設在蒸發器閥塊體212的相同外殼或主體224上。前往和/或來自閥220的流動路徑係封閉在主體224的內部228之內。
例如,閥220-1係與大量供應閥塊體232流體連通,其設置以選擇性地由設施大量液體源234供應液體(例如,液態前驅物,例如UPW)。例如,大量液體源234係位於基板處理系統外部(例如,在設施的地板236之下) 。大量供應閥塊體232亦可選擇性地將沖淨氣體(例如,氮氣(N 2))由沖淨氣體源238供應至閥220-1。閥220-1通過蒸發器閥塊體212將液體供應至儲存罐208。對應於大量液體源234以及沖淨氣體源238的相應閥(圖未顯示)係容納在相同的大量供應閥塊體232內。僅舉例而言,與沖淨氣體源238流體連通的閥係位於與大量液體源234流體連通的閥之上游的流動路徑中(亦即,更靠近閥220-1)。
閥220-2係與載氣(例如,氮氣(N 2))源240流體連通。閥220-2選擇性地將載氣流動至閥220-3以及220-4。閥220-3選擇性地將載氣供應至儲存罐208以挾帶蒸氣(例如,汽化的前驅物液體),該蒸氣係於將儲存罐208加熱時(例如,使用加熱器244) 形成。閥220-4選擇性地將載氣供應至閥220-5以沖淨蒸發器閥塊體212內的流動路徑。加熱器244可對應於一或更多單獨或共同控制的電阻加熱器、護套或包裹式加熱器(例如,圍繞儲存罐208的加熱膜或層)等。在一些範例中,加熱器244可包括一或更多凸緣***式加熱器,其延伸通過儲存罐208的底部和/或側壁以直接加熱液體。
閥220-5係與儲存罐208和蒸氣出口248流體連通。如圖所示,閥220-5係布置在閥220-4之上的蒸發器閥塊體212的頂部上。閥220-5選擇性地將來自儲存罐208的蒸氣(亦即,載氣和蒸氣混合物)通過蒸氣出口248供應至閥入口塊體250(例如,對應於圖1的閥入口塊體132)。例如,為了供應蒸氣,將加熱器244 (例如,回應來自控制器252的信號) 控制以加熱儲存罐208中的液態前驅物,俾以形成蒸氣。閥220係選擇性地控制(例如,使用控制器252)以將載氣流動進入儲存罐208,俾以挾帶蒸氣並將蒸氣流出蒸氣出口248。
圖2B、2C、以及2D更加詳細地顯示例示蒸發器組件204。圖2B係蒸發器組件204的前視圖且圖2C係蒸發器組件204的等角視圖。258顯示液態前驅物通過閥220-1以及入口管256(在圖2D中更詳細地顯示)而進入儲存罐208中的流動路徑。入口管256圍繞液態前驅物入口260並且向下延伸進入儲存罐208中。例如,大量液體源234與儲存罐208(其可維持在真空壓力下)之間的壓力差導致在填充期間液體的急速膨脹和噴濺。圍繞液態前驅物入口260的入口管256在儲存罐208的填充期間圍阻噴濺。
入口管256的上端可包括在入口管256側壁中的開口、凹口、或擋板262。開口262提供入口管256上端的內部與儲存罐208之間的流體連通。因此,沖淨氣體供應以沖淨蒸發器閥塊體212內的流動路徑(例如,來自沖淨氣體源238),該沖淨氣體流過閥220-1和液態前驅物入口260並流出開口262,而非向下流進入口管256中。透過此種方式以避免儲存罐208內的液體內部起泡。
266顯示載氣通過閥220-2、220-3、220-4、以及220-5的流動路徑。當閥220-2和220-3開啟時,載氣由載氣 (例如,氮氣(N 2)) 源240流動通過閥220-2和220-3,通過載氣入口268進入儲存罐208中以挾帶蒸氣。載氣和蒸氣混合物通過蒸氣端口270流出儲存罐208和閥220-5(當開啟時),並流出蒸氣出口248。272顯示蒸氣的流動路徑。
載氣入口268和蒸氣端口270係位於蒸發器閥塊體212(以及蓋子216)的相對側。例如載氣入口268係位於蒸發器閥塊體212的最左(或最右)端,而蒸氣端口270係位於蒸發器閥塊體212的最右(或最左)端。透過此種方式,在通過蒸氣端口270供應蒸氣期間(其與透過載氣入口268將載氣供應進入儲存罐208中同時),載氣的流動係與蒸氣端口270相隔開以避免將蒸氣吹遠離蒸氣端口270。如圖所示,載氣流動進入儲存罐208的位置係橫向地偏離閥220-3的位置。
在某些實施例中(如圖所示),載氣入口268亦作用為液位感測器端口。例如,液位感測器276由載氣入口268向下延伸進入儲存罐208中。通過液位感測器276的通道278係與載氣入口268對齊(例如,係同軸或同心對齊)。液位感測器276可為多重位置(例如,四位置)的分立液位感測器。液位感測器276提供信號至控制器252(例如,透過連接器與佈線280),指示儲存罐208內液體的液位。控制器252根據液位感測器276所指示的液體液位而選擇性地控制閥220以用前驅物液體填充儲存罐208。
如圖2C所示,每一閥220皆裝設在蒸發器閥塊體212上且對應的流動路徑(以及相關的管道、焊接件等)係封閉在蒸發器閥塊體212內。因此,簡化蒸發器閥塊體212的加熱且減少加熱的不均勻度。例如,減少蒸發器閥塊體212內的冷點。在一範例中,加熱器護套或包裹物(例如,薄膜)係結合到蒸發器閥塊體212的外表面。例如,加熱器護套係包含加熱器佈線(例如,佈線網)的薄片或墊子,其與蒸發器閥塊體212的外表面結合。
如圖所示,四閥(220-1、220-2、220-3、以及220-4)係布置在蒸發器閥塊體212的第一(例如,前)側部。例如,閥220-1、220-2、220-3、以及220-4由蒸發器閥塊體的前側部水平地向外延伸,使得閥220-1、220-2、220-3、以及220-4的縱向軸在第一方向中垂直於蒸發器閥塊體212的縱向(例如,如圖所示的垂直)軸。閥220-1、220-2、220-3、以及220-4係布置為在與蒸發器閥塊體212的縱向軸平行的方向上,並排在蒸發器閥塊體212的前側部。反之,閥220-5由蒸發器閥塊體212的第二(例如,頂)側部向上(垂直地向外)延伸,使得閥220-5的縱向軸在第二方向中垂直於蒸發器閥塊體212的縱向軸以及流出蒸氣出口248之蒸氣的流動方向。
此外,蒸發器閥塊體212的主體224係包含在由儲存罐208和蓋子216的外周所定義的覆蓋區內。蒸發器閥塊體212的縱向軸垂直於儲存罐208的垂直軸線。例如,蒸發器閥塊體212水平地延伸橫越儲存罐208的蓋子216。
在其他範例中,可將閥220布置為與圖所示者不同之順序,且/或一或更多閥220在蒸發器閥塊體212上可具有不同方位或位置。例如,一或更多閥220可布置為在蒸發器閥塊體212的前側部上(如圖所示),而一或更多閥220可布置在蒸發器閥塊體212的不同側部上(例如,在頂側、後側上等)。
儘管描述為裝設在儲存罐208的蓋子216上,惟在其他範例中可將蒸發器閥塊體212裝設在儲存罐208的其他位置(例如,在儲存罐208的側壁上)。此外,儘管圖顯示為直立方位,在其他範例中儲存罐208可具有其他方位(例如,儲存罐208的縱向軸係平行而非垂直的方位)。儲存罐208可比圖顯示更高或更短。如圖所示,儲存罐208的高度比儲存罐208的直徑短以最大化所儲存液體的表面積。儲存罐208可具有不同形狀(例如,矩形而非圓柱形)。
前述的實施方式在本質上僅為說明性的,且並非意旨對本揭露、其應用、或使用進行限制。本揭露內容的廣義教示得以各種形式而實施。因此,雖然本揭露內容包括特定範例,惟本揭露內容的真實範圍應當不因此而受限,原因在於在對圖式、說明書、及下列申請專利範圍進行研讀後,其他的修正將變得顯而易知。應理解,在不變更本揭露內容之原理的情況下,一方法中的一或更多的步驟得以不同順序(或同時地)執行。此外,雖然係將各實施例在上方描述成具有某些特徵,但可將對於本揭露內容之任何實施例所描述的任一或更多這些特徵實施在、及/或組合至任何其他實施例的特徵,即使該組合並未明確地描述。換言之,所描述的實施例並非為彼此互斥的,且一或更多實施例彼此的置換仍在本揭露內容的範圍內。
複數元件之間(例如,在模組、電路元件、半導體膜層之間…等)的空間與功能性關係使用諸多用語來描述,包括「連接」、「接合」、「耦合」、「相鄰」、「在…旁」、「在…的頂部」、「在…之上」、「在…之下」、以及「配置」。除非明確描述為「直接」,否則在上述揭露內容中描述第一與第二元件之間的關係時,該關係可為在第一和第二元件之間不存在其他中間元件的直接關係,亦可為一或更多中間元件存在(不論空間上或功能上)於第一和第二元件之間的非直接關係。如本文所用,片語「A、B及C其中至少一者」應解釋為表示使用非排他邏輯「或(OR)」之邏輯(「A或B或C」),而不應解釋為表示「至少一A、至少一B、及至少一C」。
在有些實施例中,控制器為系統的一部分,該系統可為上述範例之一部分。此系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多處理平台、和/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間、及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求和/或系統類型,可將控制器進行編程以控制本文所揭露之任何處理,包括處理氣體的輸送、溫度設定(例如,加熱和/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、與特定系統連接或接合的一工具及其他運送工具及/或負載鎖室的晶圓運送進出。
廣義而言,可將控制器定義成具有各種積體電路、邏輯、記憶體、和/或軟體的電子元件,其接收指令、發送指令、控制操作、啟用清潔操作、啟用終點測量等。所述積體電路可包括以韌體形式儲存程序指令的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、和/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可為以各種獨立設定(或程式檔案)形式而與控制器通訊的指令,而定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為製程工程師所定義的配方之一部分,以在將一或更多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間完成一或更多的處理步驟。
在有些實施例中,控制器可為電腦的一部分或耦合至電腦,該電腦係與系統整合、耦合至所述系統、或以網路連接到系統、或是其組合。例如,控制器可位於「雲端」中、或晶圓廠主電腦系統的全部或一部分中,其可允許晶圓處理的遠端存取。電腦可對系統進行遠端存取,以監控製造操作的當前進展、檢視過去製造操作的歷史、由複數製造操作檢視趨勢或性能指標、改變當前處理的參數、設定處理步驟以依循當前處理、或開始新處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路向系統提供處理配方,該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數和/或設定進行輸入或編程,所述參數和/或設定則接著從遠端電腦與系統通訊。在一些範例中,控制器接收數據形式的指令,該指令係指明一或更多操作期間待執行的各處理步驟所用之參數。應理解,可將所述參數特定於待執行的處理之類型以及控制器所設置以與之接合或控制的工具之類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的而作業,例如此處所述的處理和控制。為此目的所分佈的控制器之示例係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)的一或更多積體電路通訊,且結合以控制腔室上之處理。
不具限制地,例示系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積 (PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如前所述,取決於工具待執行的一或更多處理步驟,控制器可通訊至一或多其他工具電路或模組、其他工具構件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器、或用於材料傳送中的工具,該等工具將晶圓的容器來回傳送於半導體生產工廠中的工具位置和/或裝載埠。
100:基板處理系統 112:處理腔室 114:氣體分配裝置 116:基板支撐件 118:基板 120-1,120-2,120-N:氣體輸送系統 122-1,122-2,122-N:氣體源 124-1,124-2,124-N:閥 126-1,126-2,126-N:質量流量控制器 130:歧管 132:閥入口塊體 136:控制器 140:感測器 142:基板支撐件加熱器 146:RF電漿產生器 150:閥 152:幫浦 160:RF供應器 162:匹配與分配網路 170:蒸發器組件 174:載氣源 178:大量液體源 182:大量供應閥塊體 200:蒸氣供應系統 204:蒸發器組件 208:儲存罐 212:蒸發器閥塊體 216:蓋子 220-1,220-2,220-3,220-4,220-5:閥 224:主體 228:內部 232:大量供應閥塊體 234:大量液體源 236:地板 238:沖淨氣體源 240:載氣源 244:加熱器 248:蒸氣出口 250:閥入口塊體 252:控制器 256:入口管 258:流動路徑 260:液態前驅物入口 262:開口 266:流動路徑 268:載氣入口 270:蒸氣端口 272:蒸氣流動路徑 276:液位感測器 278:通道 280:連接器與佈線
根據實施方式及隨附圖式,將能更完整地理解本揭露內容,其中:
圖1係依據本揭露內容,例示之基板處理系統的功能方塊圖;
圖2A係依據本揭露內容,包括例示蒸發器組件之蒸氣供應系統的功能方塊圖;
圖2B係依據本揭露內容之例示蒸發器組件;
圖2C係依據本揭露內容之例示蒸發器組件的等角視圖;以及
圖2D係依據本揭露內容之蒸發器組件的入口管;
在圖式中,元件符號可能重複使用,以標示類似和/或相同的元件。
200:蒸氣供應系統
204:蒸發器組件
208:儲存罐
212:蒸發器閥塊體
216:蓋子
220-1,220-2,220-3,220-4,220-5:閥
224:主體
228:內部
232:大量供應閥塊體
234:大量液體源
236:地板
238:沖淨氣體源
240:載氣源
244:加熱器
248:蒸氣出口
250:閥入口塊體
252:控制器

Claims (20)

  1. 一種用於基板處理系統中之處理腔室的蒸發器組件,該蒸發器組件包含: 一儲存罐,設置以儲存並加熱前驅物液體;以及 一蒸發器閥塊體,裝設於該儲存罐上,其中該蒸發器閥塊體包括 一主體, 複數閥,裝設於該主體上, 一載氣入口,與該儲存罐流體連通, 一前驅物液體入口,與該儲存罐流體連通, 一蒸氣端口,與該儲存罐流體連通,以及 一蒸氣出口,與該處理腔室流體連通, 其中該複數閥之各者與包含在該蒸發器閥塊體內相應的流動路徑流體連通。
  2. 如請求項1之用於基板處理系統中之處理腔室的蒸發器組件,其中該複數閥包括一第一閥、一第二閥、一第三閥、一第四閥、以及一第五閥。
  3. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中該第一閥、該第二閥、該第三閥、以及該第四閥由該蒸發器閥塊體的一第一側部水平地向外延伸。
  4. 如請求項3之用於基板處理系統中之處理腔室的蒸發器組件,其中該第一閥、該第二閥、該第三閥、以及該第四閥係布置為在與該蒸發器閥塊體的一縱向軸平行的方向上,並排在該蒸發器閥塊體的該第一側部。
  5. 如請求項4之用於基板處理系統中之處理腔室的蒸發器組件,其中該第一閥、該第二閥、該第三閥、以及該第四閥的縱向軸係垂直於該蒸發器閥塊體的該縱向軸。
  6. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中該第五閥由該蒸發器閥塊體向上延伸。
  7. 如請求項6之用於基板處理系統中之處理腔室的蒸發器組件,其中該第五閥的一縱向軸係垂直於該蒸發器閥塊體的一縱向軸。
  8. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中該第一閥係與一大量供應閥塊體流體連通,該大量供應閥塊體設置以選擇性地將該前驅物液體供應至該儲存罐。
  9. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中該第二閥係與一載氣源、該第三閥、以及該第四閥流體連通。
  10. 如請求項9之用於基板處理系統中之處理腔室的蒸發器組件,其中該第三閥係與該載氣入口流體連通。
  11. 如請求項10之用於基板處理系統中之處理腔室的蒸發器組件,其中該載氣入口係在一橫向方向上偏離該第三閥。
  12. 如請求項9之用於基板處理系統中之處理腔室的蒸發器組件,其中該第四閥係與該第五閥流體連通。
  13. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中該第五閥係與該蒸氣端口與該蒸氣出口流體連通。
  14. 如請求項2之用於基板處理系統中之處理腔室的蒸發器組件,其中: 該第一閥係與一大量供應閥塊體流體連通,該大量供應閥塊體設置以選擇性地將該前驅物液體供應至該儲存罐; 該第二閥係與該第一閥相鄰並且係與一載氣源、該第三閥、以及該第四閥流體連通; 該第三閥係與該第二閥相鄰並且係與該載氣入口流體連通; 該第四閥係與該第三閥相鄰並且與該第五閥流體連通;以及 該第五閥係布置在該第四閥之上並且係與該蒸氣端口和該蒸氣出口流體連通。
  15. 如請求項1之用於基板處理系統中之處理腔室的蒸發器組件,其中該載氣入口與該蒸氣端口係位於該蒸發器閥塊體的相對側部上。
  16. 如請求項1之用於基板處理系統中之處理腔室的蒸發器組件,更包含一入口管,該入口管由該前驅物液體入口向下延伸進入該儲存罐。
  17. 如請求項16之用於基板處理系統中之處理腔室的蒸發器組件,其中該入口管的一上端包括在入口管之一側壁中的一開口,其通過該側壁在該入口管的內部與該儲存罐之間提供流體連通。
  18. 如請求項1之用於基板處理系統中之處理腔室的蒸發器組件,更包含一液位感測器,該液位感測器由該載氣入口向下延伸。
  19. 如請求項18之用於基板處理系統中之處理腔室的蒸發器組件,其中該載氣入口係與該液位感測器對齊,使得流動通過該載氣入口的載氣流動通過在該液位感測器內的一管道並進入該儲存罐。
  20. 如請求項1之用於基板處理系統中之處理腔室的蒸發器組件,其中該蒸發器閥塊體係裝設在該儲存罐的一蓋子上。
TW110137481A 2020-10-09 2021-10-08 蒸氣輸送裝置 TW202231912A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063090138P 2020-10-09 2020-10-09
US63/090,138 2020-10-09

Publications (1)

Publication Number Publication Date
TW202231912A true TW202231912A (zh) 2022-08-16

Family

ID=81126254

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110137481A TW202231912A (zh) 2020-10-09 2021-10-08 蒸氣輸送裝置

Country Status (5)

Country Link
US (1) US20230374657A1 (zh)
KR (1) KR20230082679A (zh)
CN (1) CN116324026A (zh)
TW (1) TW202231912A (zh)
WO (1) WO2022076444A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101511460A (zh) * 2006-02-17 2009-08-19 阿维扎技术公司 直接液体喷射装置
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
WO2020050974A1 (en) * 2018-09-03 2020-03-12 Applied Materials, Inc. Direct liquid injection system for thin film deposition

Also Published As

Publication number Publication date
CN116324026A (zh) 2023-06-23
KR20230082679A (ko) 2023-06-08
US20230374657A1 (en) 2023-11-23
WO2022076444A1 (en) 2022-04-14

Similar Documents

Publication Publication Date Title
US20200219718A1 (en) Selective deposition of silicon oxide
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
JP6752555B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
US20200087786A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
CN115584489A (zh) 用于膜轮廓调节的喷头帘式气体方法和***
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US11404275B2 (en) Selective deposition using hydrolysis
TW201843343A (zh) 基板處理系統之前驅物蒸氣供應系統中的流監視系統及方法
CN107460449A (zh) 用于增强填充物和减少衬底撞击的原子层沉积
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TW202231912A (zh) 蒸氣輸送裝置
CN118119732A (zh) 用于半导体处理的阀歧管
TW202235673A (zh) 用於原子層沉積的具有管線填充容積容器之前驅物分配系統
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
WO2024118124A1 (en) Vapor supply for substrate processing systems
TWI842908B (zh) 多站半導體處理中的可獨立調整流路傳導性
TW202229619A (zh) 具有整體式轉向流動路徑的噴淋頭
TW202324572A (zh) 控制噴淋頭冷卻之熱傳導性調制
CN113597479A (zh) 用于清洁等离子体室的设备
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
CN110537244A (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法