TW202219495A - 用於檢測半導體系統中之缺陷的方法及設備 - Google Patents

用於檢測半導體系統中之缺陷的方法及設備 Download PDF

Info

Publication number
TW202219495A
TW202219495A TW110138166A TW110138166A TW202219495A TW 202219495 A TW202219495 A TW 202219495A TW 110138166 A TW110138166 A TW 110138166A TW 110138166 A TW110138166 A TW 110138166A TW 202219495 A TW202219495 A TW 202219495A
Authority
TW
Taiwan
Prior art keywords
under test
device under
light
light source
electronic map
Prior art date
Application number
TW110138166A
Other languages
English (en)
Inventor
特沃維 諾曼
羅伯特 瑪瑪薩
法蘭西斯柯 瑪邱卡
Original Assignee
美商艾索麥提克科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/073,042 external-priority patent/US20220120699A1/en
Application filed by 美商艾索麥提克科技公司 filed Critical 美商艾索麥提克科技公司
Publication of TW202219495A publication Critical patent/TW202219495A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/18Investigating the presence of flaws defects or foreign matter
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10116X-ray image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Toxicology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

一種缺陷檢測系統,包含非相干光源和對準光源附件,以產生空間相干光波(例如X射線),這些光波能夠深度穿透待測裝置(例如半導體)。入射到待測裝置上的光波的空間相干性的變化可用於產生一或多張電子地圖,這些電子地圖指示一或多種長度、面積或體積的實體量規,一或多種元件的濃度或空間分佈,以及待測裝置內的一或多個缺陷,諸如待測裝置內的裂縫、斷裂、間隙和/或氣穴。

Description

用於檢測半導體系統中之缺陷的方法及設備
本申請案一般涉及缺陷檢測的技術領域,更具體地,涉及半導體系統內的缺陷檢測。 相關申請案
本申請案是2020年10月16日提交的美國申請案17/073,042的部分延續並請求其優先權,其整體透過參照併入本文。
現今,為了符合摩爾定律(電晶體密度每2年翻倍、成本減半),高性能和高可靠性電路的生產變得越來越困難。例如,在2008-2012年之間,基於22奈米(1x10 -9米)(nm)的記憶體裝置和中央處理單元(CPU)是首次在不遵守摩爾定律的情況下建立的。這種失敗的主要原因是基於22nm的裝置增加了複雜性以及缺乏對22nm產品的品質和良率控制而致使的額外成本。這些品質和良率控制不再由傳統的、控制良好的半導體生產線前端決定。已知的前端缺陷控制策略包含逐層檢查,而無需將光波深穿透到積體電路(IC)或IC部件中。
在先進半導體封裝出現之前的系統中,前端測試(諸如明場檢查和電子束晶圓檢查)足以檢測IC或IC部件最外層的缺陷,其解析度低於100奈米。這種缺陷檢測致使了令人滿意的可檢測性,因為不需要深入到IC封裝中並保持高解析度。明場檢查顯微鏡或電子束工具在半導體製造程序的設計/開發和良率提升階段進行缺陷檢查,並在不穿透的情況下觀察最上表面。在這些階段,可以使用明場檢查或電子束顯微鏡來最大限度地減少缺陷並提高生產良率,這主要是因為2008年之前的裝置主要是在加法/減法程序中逐層構建的平面IC,其透過電子束或明場顯微鏡的可見光學光將頂部表面暴露在測量中。隨後,一旦缺陷在良率提升階段最小化,電子束或明場檢查的使用就會減少,以加快製造速度。僅在良率受到干擾的情況下,電子束或明場檢查的使用才會返回,並且其檢測能力非常有限。然而,目前,電子束和明場檢測的使用主要限於電晶體的前端製造,在後端工廠(即組裝IC部件的地方)並不存在。明場檢測和電子束晶圓檢測的主要限制是,它不能深度穿透半導體(例如,IC)封裝。隨著先進半導體封裝(ASP)的引入,這種限制尤其成問題,ASP需要超出現有明場檢測和電子束晶圓檢測能力的深度穿透光。在ASP中,主動電路元件深埋在基板或封裝內或甚至單一晶片內,深度通常大於10微米(1x10 -6米),甚至可以延伸穿過大量堆疊和接合的晶片或晶圓超過750微米的深度。可以看出,ASP電路內元件的深度使得電子束或明場光檢查的使用極其困難。
ASP是用於指代不同的處理器和記憶體組裝技術的用語,其用於增加最終IC的整體密度並整合一或多個半導體晶片或IC。ASP內的技術包含但不限於:晶圓凸塊、IC上系統(SoIC)、扇出晶圓級封裝或FOWLP(其商品名稱,諸如eWLB、FOcus和InFO)、面板級封裝(PLP)、扇出面板級封裝(FOPLP)、無矽中介層(高密度互連或具有嵌入式矽橋的HDI,或玻璃上的RDL、其它HDI印刷電路或佈線板或PCB/PWB)的基板上的細線再分配線(RDL)、矽通孔中介層、穿矽通孔或TSV堆疊晶圓、放置在晶圓上的晶片/小晶片(CoW)、基板上晶圓上晶片/小晶片(CoWoS)、使用倒裝晶片技術的基板上晶片/小晶片基板中的嵌入式晶片/小晶片等。先進半導體封裝的優勢是透過在後端工廠中降低組裝或堆疊晶片的成本來提高晶圓前端工廠之外的性能,從而提高:整體密度、頻寬和訊號速度(降低電阻、阻抗和電容以及相關的傳播延遲時間)。
此外,在封裝(例如,IC封裝)內,可以透過移除將一或多個電路元件連接在一起的傳統焊料互連或製造直接金屬對金屬電接點,稱為使用直接接合互連(DBI)、混合接合(HB)或封裝內部件之間的垂直互連存取(VIA)來提高性能和密度。DBI可能會取代舊的焊料凸塊技術。在後端(即IC的所有部件的組裝),各種組裝實現技術阻礙了前端晶圓檢測工具的使用,諸如電子束和光學(明場和暗場工具),因為與前端(即製造電晶體等部件的地方)不同,沒有前端可預測的平面晶圓,其待測缺陷存在於外表面3微米以內。換句話說,由於IC的密度,後端的缺陷發現(當IC的部件正在組裝或組裝後時)比前端的缺陷發現(當IC的各個部件正在被製造時)需要更深的穿透。
在一些先進半導體封裝情況下,晶片或小晶片內或堆疊晶片內的IC主動元件阻礙了傳統電子束或光學工具的使用。相反,由於它們的不可穿透性,傳統的電子束和光學工具可能只能穿透距離封裝表面不到3微米的IC封裝。這種不可穿透性阻止了對IC封裝內深度超過封裝表面3微米的缺陷的檢測。提供了一種方法和設備的解決方案來檢測在組裝期間從任何表面深度凹陷(例如,大於3微米)的金屬對金屬接點中,以及矽或其它電路元件中的斷裂或裂縫中的新一類的製造缺陷。
本文描述的方法、設備和系統克服了當前缺陷檢測技術的缺點,並透過將穿透完整晶圓或完整半導體封裝的空間相干光源與獨特的投影架構相結合,使它們與先進半導體封裝穿透的要求相稱,所述投影架構可以對部分完整或完整的待測裝置(DUT)的位置之間的任何工作距離保持單一放大率,以檢測特定缺陷。非限制性地,本文描述的技術可適用於後端、前端或生產線中端(MEOL)。如下文更詳細討論的,本文描述的技術允許確定最小焊點內的微小次微米裂縫,其直徑、矽晶粒中的關鍵尺寸的裂縫、基板的材料層,以及直接金屬對金屬DBI或長度或寬度或高度<1微米的混合接合的特徵尺寸為0.5微米到25微米。此外,本文描述的技術允許檢測在沒有焊料的情況下接合的破裂或分離的銅跡線/互連(其可以被稱為DBI或混合接合)。雖然下文描述了產生空間相干源光子和單一放大率不變性的特定技術,但本文描述的技術滿足源陣列相容性和工作距離的要求,以成功實現濃縮IC中的檢測缺陷。
此外,本文中所描述的技術允許用於具有獨特實體尺寸的長寬高的設備/系統,其在現今是不存在的。所述設備/系統使許多特性與產生深穿透輻射的傳統技術有所區分。在一個實施例中,第一特性是緊湊尺寸的長寬高還允許在光發射源陣列形成,以在單次曝光或拍攝中均勻地覆蓋整個晶圓或面板。1x1到100x100單位的陣列尺寸可以利用1米×1米×1米(長寬高)的總覆蓋區來實現。在一個實施例中,第二特性是待測裝置與檢測器之間的關鍵工作距離為10毫米至1米,以執行電子訊號中的一或多個測量。第二特性可以實現為第一特性的結果。例如,由於緊湊尺寸的設備/系統,關鍵工作距離可以在3米×3米×3米的封閉環境內實現。現今存在的傳統深度穿透光源無法實現這兩個特性,並且通常無法實體適合3米×3米×3米尺寸的封閉環境中,其可能是後端工廠中走廊的尺寸。
在一個實施例中,提出了一種缺陷檢測方法、設備和系統,其包含發光裝置,其輸出能夠穿透待測裝置至少達到第一深度的光束,其中所述光束在初始輸出時包含空間相干光波。所述系統還包含視訊檢測鏈,其將入射在所述待測裝置上的光波轉換為可見光學光。所述視訊檢測鏈進一步基於所述可見光學光產生指示所述待測裝置內的一或多個缺陷的電子地圖。所述視訊檢測鏈進一步基於所述可見光學光產生指示所述待測裝置內的一或多個元件的濃度或分佈的第一電子地圖。所述視訊檢測鏈進一步基於所述可見光學光產生指示下列中一或多者的第二電子地圖:所述待測裝置內的長度、面積、體積或實體量規的單位。所述視訊檢測鏈進一步輸出所述第一電子地圖或所述第二電子地圖中之至少一者。在一個實施例中,所述第一電子地圖和所述第二電子地圖可以是包含來自所述第一電子地圖和所述第二電子地圖的資訊的單一電子地圖。
在一個實施例中,所述視訊檢測鏈與所述待測裝置相距至少10毫米設置。在一個實施例中,所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸。
在一個實施例中,所述第一深度為1微米。在一個實施例中,所述第一深度為725微米。
在一個實施例中,所述光源附件包含單毛細管或多毛細管。在一個實施例中,所述光源附件包含薄膜波導。
在一個實施例中,所述視訊檢測鏈至少部分地基於與入射到所述待測裝置上的所述光波相關的衰減和相位相干干擾來產生所述電子地圖。在一個實施例中,所述發光裝置包含X射線而所述一或多個缺陷包含所述待測裝置內的間隙或裂縫。
在一個實施例中,提出了一種缺陷檢測方法、設備和系統,其包含發光裝置,其輸出能夠穿透待測裝置至少達到第一深度的光束,其中所述光束在初始輸出時包含空間相干光波。所述系統還包含視訊檢測鏈,所述視訊檢測鏈在距離所述待測裝置10毫米到1米之間移動。所述視訊檢測鏈還至少部分地基於入射在所述待測裝置上的光波來接收所述待測裝置的至少一部分的影像,其中所述影像的尺寸的放大率與所述待測裝置的所述部分的尺寸一致。所述視訊檢測鏈還基於所述待測裝置的所述至少一部分的所述影像,產生指示所述待測裝置內的一或多個缺陷的電子地圖。所述視訊檢測鏈進一步輸出電子地圖。
在一個實施例中,所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸。
在一個實施例中,所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸,以及所述光源附件具有長度不超過200毫米和直徑不超過50毫米的尺寸。
在一個實施例中,所述電子地圖指示所述待測裝置內的一或多個材料層的化學成分。在一個實施例中,所述電子地圖指示下列中的一或多者:所述待測裝置的一或多個部分的長度、所述待測裝置的一或多個部分的面積、所述待測裝置的一或多個部分的尺寸、或所述待測裝置的一或多個部分的度量衡。
在詳細解釋與本揭露一致的範例實施例之前,應當理解,本揭露的應用不限於在以下描述中闡述或在附圖中舉例說明的構造細節和佈置。本揭露能夠在除了實施例中所描述的那些,並且能夠以各種方式被實踐和進行。此外,應當理解,本文以及摘要中所採用的措辭和用語是為了描述的目的而不應被視為限制性的。
在查看以下附圖、詳細描述和請求項之後,將更充分地理解所揭露標的之實施例的這些和其它能力。
應當理解,前述一般描述和以下詳細描述均僅是說明性的,並不限制所請求保護之標的。
本文所描述的技術可至少被用於檢查和準確地確定下列各者之內的缺陷的實體存在、位置或尺寸度量:(1)使用晶片或小晶片(大規模積體電路(LSI)邏輯或記憶體晶片)到矽(Si)中介層或晶片或支援先進封裝基板之內的再分佈線(RDL)的其它形式,諸如玻璃上的RDL、有機高密度互連(HDI)板上的RDL,或具有在0.1微米至5微米的範圍內的臨界尺寸的印刷電路/佈線板(PCB/PWB)上的RDL之間的微凸塊或直接混合接合金屬跡線用作電連接的金屬互連;(2)用於金屬互連中裂縫的半導體晶圓級或面板級封裝,包含直接金屬與金屬混合接合以及臨界尺寸(長度x寬度或直徑)在0.5微米至25微米範圍內的多層微凸塊;(3)各種基板中的RDL和金屬鍍覆的微孔,諸如HDI板、嵌入板、混合陶瓷板,印刷電路或佈線板(PCB/PWB),和/或封裝(SiP)基板中的多層系統;(4)微凸塊,其連接到矽晶片或矽中介層上的穿矽通孔(TSV)鍍覆的孔或有機基板中的嵌入式矽部件(如矽橋),或在有機或無機基板中的鍍銅(Cu)通孔或穿孔內的堆積層內;(5)無焊金屬與金屬的連接,諸如先進半導體封裝中的DBI或混合接合;以及(6)IC封裝、部件和/或部件之間的互連內的任何其它缺陷或不良特性,而不管所述IC封裝或部件的深度或密度如何。
在金屬互連、矽或有機和無機基板層、微通孔和/或微凸塊中尋找裂縫、間隙或其它缺陷的前提是使有限的空間相干光波穿過待測裝置(DUT)。在DUT中,諸如間隙或裂縫等缺陷將致使光波前的相移,並在隨後的實體傳播長度上發展菲涅耳(Fresnel)條紋干涉圖案,其量化了缺陷的存在、位置座標和實體範圍。這些干涉圖案可以被描述為波前的擾動部分和波前的未擾動部分之間的相長干涉和相消干涉。透過允許離開DUT的光波傳播至少一定距離到視訊檢測鏈的第一元件(例如,閃爍器、光電陰極、直接半導體轉換材料、平板探測器、碲化鎘(CT)或碲化鎘鋅(CZT)相機)來檢測干擾。
菲涅耳條紋可以與離開DUT的光波中相移的二階導數成正比。在一個實施例中,光波在離開DUT後將在10毫米到1米的距離處傳播和發展。在這個距離內,可以放置視訊檢測鏈的第一元件,以便準確地確定檢測到的光波的菲涅耳條紋。與缺陷互動的相位相干光源會致使菲涅耳條紋干涉,無需複雜的光學技術和設備(例如,無需光柵、單色儀、多波通拋物面鏡、部分透射光學裝置、菲涅耳波帶片、一般的干涉干涉儀系統等)即可在光波中優雅地檢測到這些干涉。例如,菲涅耳條紋介面可以用可以由本文詳述的部件組成的第一元件來檢測。本揭露的獨特特徵是使用深度穿透完整ASP的光,允許在DUT及其對應封裝內進行深度(例如,距IC封裝的表面大於3微米)的缺陷檢測。
此外,可以產生指示相移或條紋干涉圖案的電子地圖。電子地圖可以在視覺上指示一或多個缺陷的x、y和z座標/位置、它們的存在以供計數,以及它們的實體尺寸以提供連續計量或度量衡。透過產生電子地圖,透過使用高靈敏度視訊檢測鏈進行缺陷檢測的自動化,避免了人工解釋電腦資料點的負擔(例如,菲涅爾條紋干涉和菲涅爾條紋干涉的計算)以及對灰度的人類有限感知與基於相移和干涉條紋變化的自動演算法常式相結合。
圖1舉例說明了用於在DUT中檢測一或多個缺陷的範例缺陷檢測系統100。缺陷檢測系統100包含前端系統102、DUT 108和視訊檢測鏈110。前端系統102包含非相干光源104和一或多個光源附件106A和106B。儘管描繪了兩個光源附件,但是具有一或多個光源附件也在本揭露的範圍內。此外,非相干初始光源104可以透過例如光源附件106A排列並且在單次拍攝或曝光中致使對整個晶圓、面板或基板的一對一照明。晶圓、面板或基板可以是DUT 108或DUT 108的部件。長寬高足夠小以構建不間斷的大面積、強度分佈均勻、在整個尺寸上均勻小於10%,並且通常可以是1x1到100x100源的陣列,以覆蓋現有的300毫米晶圓或650毫米x 650毫米面板基板。在一個實施例中,L為長度、W為寬度、H為高度,其皆在10毫米至500毫米之間。
光源104可以是能夠產生一或多個光波的任何光源。在一個實施例中,未修改的光源104(也就是說,沒有光源附件的光源)可以產生能夠完全穿透DUT 108的非相干光波。非相干光波可以是非空間相干光波。在一個實施例中,非相干光波也可以是非暫態相干光波。在一個實施例中,光源104可以是X射線機,如用於電腦斷層攝影(CT)X射線的傳統點投影系統。在一個實施例中,光源104可以是傳統的非相干光源,如具有0.1微米到200微米範圍內的光斑尺寸的細焦距X射線管。細焦距X射線管可以是主動泵浦固定管、全密封固定管或旋轉陽極。無論燈管類型如何,傳統的非相干光源都可以發出大範圍的角度和波長的光,這些光具有深度穿透性(例如,從0度到90度的光束半角)並且功率程度在1到10,000瓦之間。
X射線物理學和X射線光學領域的精通者都知道,當帶電粒子加速/減速時,X射線能夠產生深度穿透的光。最常見的非相干和深度穿透光的來源包含但不限於旋轉陽極源、各種形式的細焦距密封管、撞擊金屬的電漿、來自蟹狀星雲的脈衝星、自由電子雷射器、同步加速器、電子加速器、迴旋加速器和雷射尾波場源。通常,用於商業環境(如醫療領域)的2D、3D CT X射線源主要產生非相干寬頻輻射,所述輻射是透過將電子束從熱燈絲(例如,陰極)加速到金屬靶(例如,陽極)而產生的。金屬靶可以採用透射膜或固體楔形物的形式,其通常由鎢、鉬、銀和銅組成。傳統的X射線源通常在40keV-160keV(稱為發電機電壓)範圍內的通常加速電壓下運行,以進行全穿透探測。
在大多數情況下,由X射線源產生的穿透光在空間和時間上完全不相干。如果使用交叉圖案將厚度0.5*的兩條金屬線 d在實體重疊接觸,感興趣的互連區將形成具有的總厚度 d的互連。將得到的穿過所述感興趣的互連區的不相干X射線的吸收是透過比爾定律(Beer’s law)所描述的,僅產生用於測量單位的強度的變化。如果一個人透過小的空氣間隙(例如,<1微米的實體厚度)將兩個重疊的線分開(考慮這種用於建立真正的電開路測量電阻大於直流的1百萬歐姆的裂縫或間隙的近似),所得的X射線強度的衰減顯示了與在利用不相干的深穿透光時的直接接觸的情況下相比沒有差異,因為空氣不會以顯著的方式衰減(例如,由於空氣的低密度,需要50-100cm的乾燥空氣,以使一般X射線的強度的1%變化)。這說明了與僅利用其深厚穿透性能的裂縫和間隙的傳統X射線檢查的關鍵問題:有沒有獨特的方式來辨別間隙,因為它小而致使了對於傳統X射線源中的任何keV的能量值的硬X射線的總衰減的細微變化。換句話說,X射線,由於產生空間上和時間上的非相干光,不能準確地指示是否存在金屬部件(如半導體封裝內的焊接接頭或無焊接接頭)中的開口或間隙。
為了實現從光源104發射的光的空間相干性,一或多個光源附件106A和106B被使用。光源附件可以由下列中的一或多者組成:毛細管、多毛細管玻璃,或金屬塗覆的玻璃管(由諸如金、鉻、鉬、以及其組合組成,以用於改進反射率,提高了穿透力極強的光的全外反射)。應當注意的是,光源附件不必實體連接到光源104,而是可以在光源附件106A和/或106B能夠接收從光源104發射的光波的至少一部分的意義上被附接。所述光源附件有效地將源移動遠離到無限遠距離,或換言之對於穿透力極強的光具有對準特性。
簡要參考圖6A-6B,描繪了缺陷檢測系統600A和600B。缺陷檢測系統600A是缺陷檢測系統的範例,其包含單一光源602A和用於利用視訊檢測鏈608A檢查晶圓606A(也就是說,DUT)的單一光源附件604A。單光源602A和單光源附件604A形成1x1光束,以檢查晶圓606A。相對地,缺陷檢測系統600B是缺陷檢測系統的範例,其包含具有多光源附件604B的多光源602B,多光源附件604B被用於利用視訊檢測鏈608B檢查晶圓606B。多光源602B和多光源附件604B形成NxN元件光束以檢查晶圓606B。在這種實施例中,N大於1,並且表示構成光源602B的光元件的數目和/或多光源附件604B的數目。例如,可能有100個光源和相同數目的光源附件在光束中形成100x100的光元件。在另一個範例中,可能有單一光源和10個光源附件,其在光束中形成10x10的光元件。透過增加光源的數目和/或光源附件的數目,可以使用更寬的光束來檢查更大面積的DUT。
參考回圖1,在一個實施例中,光源附件可以是毛細管和實體尺寸的組合和構成多毛細管的材料,如整體多毛細管的直徑,以及構成汞合金或整體光導的纖維/管的各別直徑,以及構成多毛細管的實體材料,通常但不限於,硼矽酸鹽玻璃,或上面本文所述的純金屬,或這些金屬塗覆的玻璃纖維或管的組合,有效地將發射的大起始角度範圍(例如,通常為+/-15度到+/-90度的光束半視角)降低為全外反射的臨界角的1.3至2倍的窄範圍。這種有效變窄是由深度穿透光在多毛細管或引導件內發生的多次掃視反彈致使的。用於穿透感興趣的光的能量,這可能致使<5毫弧度的角度或出射發散角< 0.3度。此外,這種變窄的角發射的引入提高檢測能力100-1000倍的顯著強度增益,從而致使本文教示的方法,和正比於所述光導的入射和出射之間的角度。由於所述變窄的發散角和光源104的有效移動到無限距離,光源附件將來自光源104的非相干光轉換成透過如上所述多次反彈獲得的空間相干光。所述變窄的發散角進一步在如圖2所示的深度穿透能力的(光源附件的)整個出射光波(也就是說,從光源發射的相鄰光波之間的相位變成在光源附件(例如,多毛細管和相干性)的長度對齊)建立相干波前。本揭露的進一步優點是能夠將1x1到100x100的光源排列在一起,因為每個光源附件(例如,多毛細管)可以被組成足夠小的,對於每一個整體光源附件直徑從<1毫米至10幾毫米並且堆疊並排。並排堆疊光源附件能夠形成均勻性高的奇異光束,其中的總累積尺寸是用於先進半導體封裝單一基板,諸如但不限於對於單次檢查過量填充300毫米晶圓或650毫米x 650毫米面板的整體。換句話說,能夠透過可定制尺寸的一或多個光源附件將1x1到100x100的光源排列在一起使得能夠建立奇異的大光束,其接著可以被用於一次檢查DUT的一部分或DUT的整體。在一個實施例中,具有光源附件的光源的組合或整合可以被統稱為發光裝置。
簡要參考圖2,光波202A、204A和206A表示從例如圖1的光源104發射的空間非相干(也就是說,非相干)光波。如峰值幅度線208A所示,光波202A、204A和206A在空間上彼此不相干。之後,光波202A、204A和206A穿過,例如圖1的光源附件106A或106B,產生空間相干光波202B、204B和206C。可以看出,如峰值幅度線208B所示,光波202B、204B和206C是同相的(也就是說,空間相干的)。如峰值振幅線208B所示,光波202B、204B和206B的振幅是對齊的,這意味著假設光波202B、204B和206B具有相同的空間頻率或頻率的整數倍,其中峰值和山谷對齊,據說它們同相並且具有空間相干性。
現在返回參考圖1,光源附件透過如上所述產生各個光源附件的內壁內多次反彈來對準來自光源104的非相干光。光源附件捕獲從光源104發射的非相干光的窄部分稱為捕獲角。所述捕獲角可由光源附件的性質,諸如,曲率半徑或到(一或多個)源附件的輸入焦距總直徑之間的關係來定義。到達從零到全外反射兩倍的臨界角的範圍內的深度穿透光可以被拍攝,並且可以隨後透過(一或多個)光源附件傳播或傳輸並且以上述定義的<5毫弧度的窄角發散離開。在一個實施例中,(一或多個)光源附件捕獲從光源104發射的非相干光的1-10%之間(取決於深穿透光波的能量和入射角)。光源附件對準接收的相干光源波並發送由每光源附件具有0.1毫米到10幾毫米的範圍的橫截面直徑的相位相干波組成的波束。此外,多種光源附件可以並排、疊加或連接配置,以重疊(每個光源附件的)離開的光束元件,以形成在10幾毫米到100多毫米的範圍內的奇異廣域相干光束。由於光源附件與光源104的附接,所發射的非相干光波的一部分可以被對準成相位相干光波(也就是說,光束),並隨後在多個光源附件之間組合,以將多個光束結合,致使大面積的,均勻的奇異主光束,其具有足夠緊湊的整體實體包絡(例如,長寬高可以採取的值在10毫米至1000毫米的範圍內)需要適應下述方法所必需的工作距離、通常在10毫米至1米之間的源(也就是說,光源104和/或光源附件106A、106B)和檢測器(也就是說,視訊檢測鏈110)。
以上描述使用用語「光源附件」來指代範例性光源附件106A或106B。兩個光源可以獨立或串聯操作,以提供一或多組相干光波(即光束)。增加到大直徑相位相干光的好處可以應用於本文教示的相位敏感方法,以在單次拍攝中獲取最大的影像,而不必掃描或移動實體DUT,包含整個晶圓或面板,並最小化或消除掃描時間負擔(例如,載物台移動時間和載物台穩定時間),同時最大限度地減少多個小影像或小視野之間的影像校正和拼接偽影;在沒有盲點或排除區域的情況下提高可檢測性。在一個實施例中,多個光源附件(例如,光源附件106A和106B)可以同時附接到光源104,以便將對準光波的實體橫截面乘以10毫米至50毫米範圍內的總直徑。在這種實施例中,可以提供四個光源附件,每個附件捕獲從光源104發射的非相干光的不同5%部分。
在一個實施例中,一個光源被附接到一或多個光源附件以實現具有空間相位相干的10毫米到50毫米的總光束直徑。光束可以形成為NxN陣列的陣列式光導,其中N可以是1到100,但不限於100。在直徑為10毫米的100x100陣列的情況下,每個光源附件中的光束產生一個尺寸為1000毫米x1000毫米的有效面積,其具有均勻的相位相干光照明。
簡要參考圖7,圖7描繪了可被實施為光源附件106A和/或光源附件106B的範例薄膜波導700。薄膜波導700可以包含基板層702和710以及內層704-708。在一個實施例中,內層704-708可以是高度在1奈米到100奈米之間的薄層。內層704-708可以由鈷和矽、鎳和矽、鈷和碳或鎳和碳組成。在一個實施例中,基板層702和710可由砷化鎵(GaAs)組成。來自光源104的非相干光可以入射到薄膜波導700的層702或710上。入射光可以被薄膜波導700內的層吸收並且被轉換成包含空間相干光波的單一光束。此外,一或多個層702-710可以沿著水平軸重複以在空間相位相干中單獨引導複數個光波,這些光波可以被加在一起以形成具有單一相位和小於5毫弧度的極低發散度的大面積光束。層702-710也可以在垂直方向上重複,換句話說,在水平和垂直方向上形成二維重複結構,並拼接一個更大的光束,其相對於水平和垂直軸的尺寸為50毫米x 50毫米,恭敬地,異相並且具有小於5毫弧度的極低發散角。在一個實施例中,薄膜波導700內的每一層可以吸收5-10%範圍內的入射光,這致使能夠將入射非相干光束的很大一部分轉換成具有大於70%初始強度的單一相干光束的方式。此外,薄膜波導700可以給出與光束的入射角發散度成正比的強度增益因子,其與光束的出射發散度成比例。例如但不限於,相位相干光束的45度入射發散度和1 mrad出射發散度致使789倍(45度/0.057度)的強度增益。簡而言之,薄膜波導內的重複層在水平和垂直方向上可以實現N個光源(例如10個),因為小尺寸的光源(例如,50毫米x 50毫米x 50毫米)可以實現高密度堆疊或排列,並且波導可以吸收非相干光束,有效地將它們相加,並將它們轉換成由相位相干光波組成的累積奇異光束,所述光波經過有效對準並處於奇異相位,具有N倍的功率,以用於相位相干缺陷檢查或度量衡測量目的。
由光源附件產生的空間相干光波穿透DUT 108並被視訊檢測鏈110的元件112檢測到。DUT 108可以是半導體晶圓或先進的半導體封裝,其包含多個部件,部分或全部製造。部件可以是半導體裝置或積體電路或包含記憶體的應用處理器晶片的任何部分,或先進半導體封裝,包含但不限於:凸塊晶圓、IC上系統(SoIC)、扇出晶圓級封裝或FOWLP,如eWLB、FOcus和InFO,或面板級封裝(PLP)、扇出面板級封裝(FOPLP)、無矽中介層(高密度互連或具有嵌入式矽橋的HDI,或玻璃上的RDL、其它HDI印刷電路或佈線板或PCB/PWB)的基板上的細線再分配線(RDL)、矽中介層,如穿矽通孔或TSV堆疊晶圓、晶圓上晶片/小晶片(CoW)、基板上晶圓上晶片/小晶片(CoWoS)、使用倒裝晶片BGA技術的基板上晶片/小晶片、基板中的嵌入式晶片/小晶片等。由元件112檢測到的光波被視訊檢測鏈110用來確定DUT 108的一或多個部件中的一或多個缺陷(例如,次微米缺陷,包含但不限於金屬、矽晶片和基板有機材料中的裂縫、斷裂或間隙)。
在一個實施例中,可以透過監視光波衰減和相位相干擾動或在元件112處接收到的光波相干的變化來檢測缺陷。入射到DUT 108上的光波的相位變化可以被稱為相位相干或相位相干干擾的變化。相位相干的這些變化源於遇到氣隙的相干光,所述氣隙包含例如待測材料中的斷裂或裂縫。不與間隙互動的傳播光波不受干擾地傳播,而那些確實與裂縫互動的光波會由於氣隙或裂縫所呈現的折射率中的小偏差而受到干擾。隨後,未受干擾光波和受干擾光波之間的整體干涉在相位相干影像中產生變化。換句話說,當具有相位相干的光波暴露於缺陷(諸如裂縫)時,相位相干可能會部分丟失或改變,從而致使相移,所述相移可作為受干擾光波和未受干擾光波之間的相位角差進行測量。相位角差可以從菲涅耳條紋干涉圖案中得到,所述菲涅耳條紋干涉圖案代表產生的受干擾光波(即暴露於缺陷的光波)的相長和相消條紋或明暗圖案。隨後,受干擾的光波暴露於元件112(例如,光波敏感膜或光波敏感檢測器(例如,閃爍器、光電陰極、電荷耦合裝置(CCD)、碲化鎘(CT)或碲化鎘鋅(CZT)相機、互補金屬氧化物半導體CMOS成像器、科學互補金屬氧化物半導體(sCMOS)成像器或平板顯示器(FPD)探測器))。相長和相消條紋的擾動或相位角變化的測量(即明暗圖案擾動)可能指出金屬裂縫、矽晶粒裂縫、或有機/無機基板的裂縫或分層,或焊料微凸塊的裂縫、半導體晶圓中的損壞元件和所有類型的先進半導體封裝中的損壞元件,或傳統的RDL或焊點缺陷,諸如空洞、非接觸式開路、不潤濕、焊橋/短路、焊料擠出、缺少焊點,或異常焊點直徑。在一個實施例中,視訊檢測鏈110是光波檢測器。
現在簡要參考圖3,圖3舉例說明了基於傳播的成像系統300,其描繪了空間相干光波和缺陷與DUT 108之間的範例互動。在此,缺陷302被描繪為圓形特徵並且代表金屬特徵(例如,晶圓、互連等),其周邊有次微米裂縫或待測實體缺陷。入射相位相干光波前由光波310描繪。垂直直線312表示光波310中的光波前(也就是說,所有光波前同相並且具有相同相位角)之間的高空間相干性。在光波310深度穿透缺陷302之後,緊隨其後的光波310在垂直衰減線314處同相(也就是說,相同的相位角),並且僅出現在缺陷302已經致使衰減的訊號強度上衰減。缺陷302周邊的裂縫可能無法透過衰減檢測到,但隨著額外的傳播距離(例如,在距離304之後),透過設置菲涅爾條紋(如垂直線316和318所示,描繪為波前中的上/下振鈴訊號)開始顯示它們對整體相位相干的干擾。這些菲涅耳條紋將僅在工作距離在10毫米到1米的範圍內(例如,在距離304之後)時才會以有效率的方式設置,或者換句話說,當距離太短時,諸如<10毫米(例如,小於距離304),菲涅爾條紋將無法被檢測到,而太長的距離,諸如大於1米(例如,大於距離308),菲涅爾條紋會被洗掉,而不會被檢測到。本文揭露的一個優點是緊湊的源尺寸(例如,光源)和源附件(例如,光源附件)能夠致使排列大主光束的能力,所述主光束可以靠近DUT和檢測器(例如,視訊檢測鏈)放置,以最佳地檢測菲涅耳條紋並保持成像的單一放大率。
返回參考圖1,視訊檢測鏈110的元件112將透過DUT 108傳輸的光波轉換成影像以顯示在電腦螢幕、行動電話螢幕等上。所述影像可以是數位化陰影或「陰影圖像」,其指示由與材料層(例如,金屬)和DUT 108中的一或多個缺陷的互動致使的光波的部分衰減幅度的強度。「陰影圖像」可以進一步表示具有不同相位相干特性的波前,這些特性由與材料層(例如金屬)邊緣的互動或其中的一或多個缺陷(諸如晶圓或先進半導體封裝中的元件內遇到的裂縫、斷裂和氣隙,所述先進半導體封裝諸如使用銅填充通孔的直接金屬對金屬接合(DBI)、金屬跡線或再分配線(RDL)、使用銅通孔或直接金屬墊對金屬墊的混合接合接點、鍍銅微通孔、鍍穿矽通孔(TSV)和/或焊料微凸塊)致使。「陰影圖像」可以是所有深度穿透光的灰度影像,或表示深度穿透光的有限能量範圍內不同元件的彩色影像(例如,五種顏色類型,其表示<20keV、20keV-25keV、25keV-30keV、30keV-35keV、>35keV範圍內的X射線,分別映射到在這些範圍內高度吸收的元件)。在一個實施例中,元件112可為閃爍器或光電陰極。閃爍器可以將接收到的光波的更長波長從X射線光波上轉換為uv可見光學光,接著間接轉換為電子訊號(例如,sCMOS或CCD相機)。而光電陰極將接收到的光波的波長從光波轉換為二次電子,其平均能量和能量傳播的窄能量範圍小於0.5eV。在一個實施例中,元件112可以是平板檢測器或CZT相機,其將接收的深度穿透光波的波長直接從光波直接轉換為電訊號。在另一個實施例中,元件112是連接到電子微通道板(MCP)和/或螢光銀幕的光電陰極,接著光電陰極進一步(直接或間接)連接到sCMOS、CMOS或CCD相機,或sCMOS、CMOS或CCD相機的X射線影像增強管。
圖8-9描繪了視訊檢測鏈800和視訊檢測鏈900。兩個視訊檢測鏈都是光學視訊鏈並且可以用於識別半導體中的製造程序或組裝程序中的缺陷故障或變化並且可以被實現為視訊檢測鏈110。視訊檢測鏈可能位於包含故障分析實驗室在內的各個地方,在諸如代工廠或OSAT工廠等生產製造設備旁邊的生產線,或在代工廠或OSAT工廠或其它生產環境內的生產線中進行檢查或測量待測裝置的一或多個部分(例如,部分或完全處理的半導體裝置、積體電路或應用處理器晶片/小晶片,或各種記憶體裝置)。此類待測裝置可以包含但不限於記憶體DRAM/HBM或3DNAND/VNAND或SRAM、邏輯晶片、被動元件(諸如電阻器、電感器、電容器(MLCC))或互連金屬線和跡線(諸如矽中的再分配線)或在矽或玻璃上或在有機印刷電路板上/中的中介層、銅金屬平面或積層基板中的鍍銅通孔、高密度連接器或各種類型的焊點或焊料凸塊(諸如C2微凸塊)(範圍為3-30微米直徑)或C2/C4凸塊(直徑範圍為30-100微米),以及先進半導體封裝包含但不限於:凸塊晶圓、系統積體電路(SoIC)、扇出晶圓級封裝或FOWLP(諸如eWLB、FOcus和InFO),或面板級封裝(PLP)、扇出面板級封裝(FOPLP)、無需矽中介層(高密度互連或具有嵌入式橋接的HDI或玻璃上的RDL、其它HDI印刷電路或佈線板或PCB/PWB)的基板上細線再分配線(RDL)、矽中介層(諸如穿矽通孔或TSV堆疊晶圓)、晶圓上的晶片/小晶片(CoW)、基板上的晶圓上的晶片/小晶片(CoWoS)、使用倒裝晶片的基板上晶片/小晶片BGA技術、基板中的嵌入式晶片/小晶片、晶圓對晶圓直接接合互連或晶片對晶圓直接接合(也稱為混合凸塊部件)等。
如圖8所示,視訊檢測鏈800包含元件804(例如,閃爍器)、元件806(例如,抗輻射玻璃)、元件808(例如,物鏡)、元件810A-810D(例如,在凹面玻璃透鏡之間移動的凸透鏡)、元件812(例如,反射鏡)、元件814(例如,最終聚焦透鏡)和元件816(例如,科學互補金屬氧化物半導體(sCMOS)或普通級CMOS或電荷耦合裝置(CCD)檢測器)。視訊檢測鏈800接收空間相干光波802。光波802透過待測裝置傳輸。在一個實施例中,待測裝置可以是DUT 108。在一個實施例中,光波802是X射線。光波802到達元件804。元件804將光波轉換成紫外線(uv)或可見光學光(例如,來自Saint Gobain或Hamamatsu的LUAg、GaGG、BGO、LYSO)。在一個實施例中,元件804的功能是以每1keV的X射線光子(1000eV=1keV)的20-100個可見光子的通常效率將X射線轉換成可見光以供隨後的光學傳輸和聚焦。在這種實施例中,在以由閃爍器峰值螢光波長確定的單一值為中心的窄頻波長內,轉換的X射線從短波長上轉換為較長的可見光子波長。閃爍器螢光標稱發射波長可以透過其材料特性來確定或調整,包含化學結構和元件摻雜原子(例如,對於紫外線/藍光,在420nm波長處的峰值發射)。這種螢光是從X射線到紫外線/可見光學光的轉換,隨後進入第二個元件(例如,波長為+/-25nm波長帶的峰值420nm光),即防輻射玻璃,如鉛玻璃(也就是說,肖特玻璃BK7G18和LF5G19)。抗輻射玻璃的功能是進一步移除任何殘留的通過未轉換的X射線。在一個實施例中,待測裝置和元件804之間的距離可以大於10毫米但小於1米,以允許入射在待測裝置上的光波的適當傳播距離並最佳地設置菲涅耳(Fresnel)條紋。
元件806從元件804接收可見光並移除穿過元件804而沒有被元件804成功吸收的不需要的光波。在一個實施例中,元件806的功能是在閃爍器的上轉換(即從X射線到可見光的轉換)期間移除穿過閃爍器而沒有成功吸收的不需要的X射線。
元件808可以是幫助放大可見光的物鏡。元件808可具有小於0.75的數值孔徑。元件804、元件806和元件808的組合(或一或多個部分)放大由元件804產生的可見光。在一個實施例中,元件810A-810C建立至少3個由玻璃組成的透鏡的無焦透鏡子組件(即810A、810B和810C)。元件810B可以在元件810A和元件810C之間機械地移動以便動態地調整可見光的放大率。透過移動最靠近元件810A的元件810B可以實現最高放大倍率。
元件810D和814可以是能夠將從元件808接收的可見光投射穿過810A-810C並被元件812反射的凹玻璃透鏡。元件812接收來自元件810A-810C的經放大可見光,並且元件812的目的是將紫外線/可見光重新引導穿過元件814(例如,最終成像鏡頭)並到達元件816。元件812有效地僅彎曲可見光的路徑,並從視訊鏈/檢測器路徑中移除防輻射玻璃無法吸收的任何剩餘有害的X射線,其已知會致使構成最終鏡頭和相機的玻璃出現色中心缺陷。在一個實施例中,元件812是定位在45度處(相對於視訊檢測鏈800的光軸)的反射鏡,其具有圓柱形徑向對稱的幾何形狀。反射鏡可以是具有薄的原生氧化物的鍍銀或鋁金屬部件。
元件814可以是凹面的聚焦透鏡並且將1x到50x放大影像放置到元件816上。在一個實施例中,穿過元件814的最終數值孔徑在0.3到0.75的數值孔徑(NA)的範圍內。
元件816是能夠檢測可見光的檢測器。在一個實施例中,檢測器是像素化相機,如sCMOS、CMOS或CCD相機。
圖9舉例說明了視訊檢測鏈900。視訊檢測鏈900包含元件904(例如,閃爍器)、元件906(例如,防輻射玻璃)、元件908(例如,聚光鏡)、元件910(例如,物鏡)、元件912(例如,反射鏡)、元件914(例如,最終聚焦透鏡)和元件916(例如sCMOS或普通級CMOS或電荷耦合裝置(CCD)檢測器)。視訊檢測鏈900接收空間相干光波902。光波902透過待測裝置傳輸。在一個實施例中,待測裝置可以是DUT 108。在一個實施例中,光波902是X射線。光波902到達元件904。元件904將光波轉換成紫外線(uv)或可見光學光(例如,來自Saint Gobain或Hamamatsu的LUAg、GaGG、BGO、LYSO)。在一個實施例中,元件904的功能是以每1keV的X射線光子(1000eV=1keV)的20-100個可見光子的通常效率將X射線轉換成可見光以供隨後的光學傳輸和聚焦。在這種實施例中,轉換後的X射線在波長上被上轉換為窄頻光內的可見光學光子,所述窄頻光以由閃爍器峰值螢光確定的單一值為中心。閃爍器峰值螢光標稱發射波長可以透過其材料特性來確定或調整,包含化學結構和元件摻雜原子(例如,如420nm的紫外線/藍光)。這種螢光是從X射線到紫外線/可見光的轉換,並且隨後進入第二個元件(例如,波長為+/-25nm波長帶的420nm光),即防輻射玻璃,如鉛玻璃(也就是說,肖特玻璃BK7G18和LF5G19)。抗輻射玻璃的功能是進一步移除任何殘留的通過未轉換的X射線。在一個實施例中,待測裝置和元件804之間的距離可以大於10毫米但小於1米,以允許入射在待測裝置上的光波的適當傳播距離以有效率地設置菲涅耳(Fresnel)條紋干涉。
元件906從元件904接收可見光並移除穿過元件904而沒有被元件904成功吸收的不需要的光波。在一個實施例中,元件906的功能是在閃爍器的上轉換(即從X射線到可見光的轉換)期間移除穿過閃爍器而沒有成功吸收的不需要的X射線。
元件908和910放大由元件904產生的可見光。在一個實施例中,元件908和910是固定的(與機械移動以控制放大率相反)並且包含至少2個玻璃凹透鏡。元件912可以僅將可見光反射到元件914中;凹面玻璃透鏡可以具有不同的放大率和數值孔徑,以便透過電腦控制的線性或旋轉機構的機械平移來調整可見光的放大率(例如,1x/NA=0.3、20x/NA=0.75等)。
元件912接收來自元件908和910的放大可見光並將紫外線/可見光重定向到元件914(例如,最終成像鏡頭)和攝影機或元件916,以從視訊鏈/檢測器路徑中移除防輻射玻璃無法吸收的任何剩餘有害的X射線,其已知會致使構成最終鏡頭和相機的玻璃中的色中心缺陷。在一個實施例中,元件912是定位在45度處(相對於視訊檢測鏈900的光軸)的反射鏡,其具有圓柱形徑向對稱的幾何形狀。反射鏡可以是具有薄的原生氧化物的鍍銀或鋁金屬部件。
元件914可以是凹面的聚焦透鏡,並且針對元件916上的最終放大影像將放大率調整到1x到50x的值。在一個實施例中,穿過元件914的最終數值孔徑在0.3到0.75的範圍內。
元件916是能夠檢測可見光的檢測器。在一個實施例中,檢測器是sCMOS、CMOS或CCD相機。
視訊檢測鏈110可以利用內部或外部處理器從元件112建立的電子訊號產生一或多個電子地圖。處理器可以從元件112接收一或多個訊框。每個訊框可能包含「陰影圖像(shadow-gram)」。例如,第一訊框可以包含顯示DUT 108的一部分的灰度影像或表示DUT 108內的各種元件的彩色影像的第一「陰影圖像」。處理器可以檢索/執行一或多個機器學習模型以處理接收訊框,以確定DUT各段之間的一或多個相位對比差異或成分差異(例如,正在成像的半導體晶圓和先進半導體封裝)。可以從一或多個訊框產生指示相移或條紋干涉圖案干擾的電子地圖。可以透過輸出114將電子地圖輸出到數位顯示器。電子地圖可以直觀地指示一或多個缺陷的x、y和z座標/位置、它們的實體存在或計數,以及實體範圍或度量衡的計量,以測量部件或電路元件的可重複性或實體尺寸的變化,或樣本中元件濃度的分佈。在一個實施例中,對於50x到1x的光學裝置,處理器可以每秒接收像素解析度範圍在0.1微米到10微米之間的10-150個訊框。
圖4-5描繪了由視訊檢測鏈110產生和輸出的範例電子地圖。圖4描繪了DUT的電子地圖400,其中電子地圖400中的每個正方形代表待測試的電路元件。圖5描繪了「陰影圖像」影像502-512。每個「陰影圖像」影像指示一或多個暗區,這些暗區表示一或多個缺陷。例如,「陰影圖像」506包含暗區514。暗區514可以代表DUT中的一或多個缺陷。
因為視訊檢測鏈110的缺陷檢測可能取決於衰減和相位角變化的組合,所以可以考慮入射到DUT 108上的光波的傳播距離。如參考圖3所描述的,光波傳播的距離可能直接影響可以從對應的光波讀取的資料量。例如,如果光波傳播一定距離(例如,小於10毫米),則可能無法檢測到視訊檢測鏈110的所有或一些任何相位變化。類似地,如果距離例如大於1米,所有或一些任何相位變化可能會消失,並且不能被視訊檢測鏈110檢測到。在一個實施例中,距離116表示光源附件106A或106B與DUT 108之間以及DUT 108與元件112之間的相等距離,從而能使在DUT到視訊檢測鏈的第一個元件之間具有較大工作距離的單一放大率。例如,在一個實施例中,距離116可以大於10毫米但小於1米。在這種範例中,DUT 108和元件112(或視訊檢測鏈的另一部分)之間的距離可以在10毫米到1米之間。此外,利用光源附件106來對準光波的動作獨特地實現了(1)從光源實現零模糊,並建立具有最大視場的統一放大成像架構;(2)實現最大視場、最高次微米解析度和探測器與DUT之間的最大距離(即工作距離)之間的突破權衡;以及(3)移除由於其缺陷會與非對準光束中固有的視差相乘產生的DUT成像雜訊,諸如由於形成DUT的眾多裝置和晶圓的堆疊而致使的大總厚度、跨DUT的厚度變化,以及DUT中由於在先進半導體封裝製造環境內的程序中ASP中通常的寬鬆公差和熱膨脹係數不匹配而致使的過度彎曲/翹曲。在傳統的缺陷檢測中,最大化視場會產生零傳播距離,從而否定了相位相干方法的使用,並且無法在視訊檢測鏈上建立干涉條紋。因此,傳統的缺陷檢測方法無法測量相位相干的變化。實現單一放大率條件的已知裝置將DUT準確地放置在檢測器上,由於DUT和檢測器之間缺乏傳播距離而完全禁用相位相干檢測。在一個實施例中,光源附件106A或106B與DUT 108之間的距離可以不同於DUT 108與元件112之間的距離。
此外,為了在DUT 108上執行高解析度顯微術,視訊檢測鏈110可以包含sCMOS、CMOS或CCD相機(或其它檢測器),其能夠使用成像光譜法來確定化學結構或材料成分並量化使用DUT 108的特定元件的濃度或數量。實現光譜學的一種方式,光子計數可以與檢測鏈110中的檢測器結合使用。對應於陰影圖像的給定能量的X射線光子,在sCMOS、CMOS或CCD相機中產生特定封包尺寸的電子。透過計算電路中可以產生的電子數目,此屬性可用於選擇性地將接收到的光子映射回其原始能量。這種技術稱為電荷計數。在電荷計數中,每個X射線光子或可見光子都會產生一封包的電子。換句話說,不是對在固定時間內到達任何一個像素元件的電荷進行積分,而是使用觸發足夠尺寸的到達事件的臨界值電路元件進行計數,並且臨界值電路可以在足夠大時對隨後到達的電子封包求和以累積所有事件的總計數。這種檢測模式在sCMOS或CMOS或CCD檢測器上稱為光子計數或電荷計數。這種電子或電荷的封包的電子處理方式與具有涉及電晶體和電容器的電荷累積電路不同。在一個實施例中,可以設置計數電路來對給定尺寸的電子的封包進行取樣,接著針對關鍵臨界值進行測量(也就是說,確定封包是否足夠大),接著如果高於代表原始入射X射線光子的單一能量的關鍵臨界值,則計數算作一次。接著,所述計數可以透過計數電路累加或加到先前的計數上,以破譯到達關鍵臨界值以上的總計數或事件(例如,到達關鍵臨界值以上的光子的數目)。多個臨界值電路或多個通道接著可以穿過多工器或MUX,以透過一組臨界值(例如,每個通道一個臨界值)有效地移動關鍵臨界值。因此,多個通道代表從最低到最高組織的能量選擇箱,每個像素變成多通道能量分析器,並且每個輸出影像是DUT 108中所有元件的所有通道的總和,其可以透過電子方式向下選擇,以代表用於材料分佈分析一次的一或多個元件、x、y、z空間中的數目或濃度(影像變成DUT 108中一或多個元件的分佈或濃度),其一次包含單一元件。後者是一種計數超過關鍵臨界值的NxN像素的方式,而不是無法透過檢測器114處的能量來區分的電荷累積。例如,臨界值可以設置得足夠高,以僅區分已經到達代表元件的單一值的像素陣列的高於特定能量的光子到,從DUT 108中存在的其餘不需要的材料中移除混淆或影像分析雜訊,並在x、y、z空間提供準確的分佈或濃度測量。可以使用各種方法將此臨界值從高能量降低到低能量,並透過能量值解密特定X射線能量下的電荷封包,也稱為全光譜能量分析。例如,可以透過計算相鄰能量臨界值處的兩次影像掃描之間的差異來確定到達窄能量範圍的像素圖。可以選擇精細或大的臨界值中的這些步驟來確定所需的光子能量鑑別中的增量。在一個實施例中,臨界值可用於區分用於製程變化控制和可靠性的關鍵半導體電路元件中的元件,諸如Cu、Sn、Ag和Si。在另一個實施例中,DUT 108的影像可以表示由銅組成的金屬互連線,以及透過設置能量臨界值以僅對僅由銅致使的吸收而發出的X射線進行計數而形成的影像,以及銅的相似區域之間的視差圖會發現在製造精細銅特徵時與銅相關的缺陷或製程或組裝變化。類似地,對於焊料中的Sn,可以設置臨界值以僅計算受Sn的吸收影響的X射線(靠近Sn的k邊或L邊),這可用於尋找類似Sn區域之間的視差圖,並會勾勒出與僅Sn變化或缺少焊料或橋接焊料或焊料偏移或焊針相關的缺陷,這些缺陷很薄,幾乎無法用傳統的X射線檢測到。這種能量篩選導致使用完全光學視訊鏈系統進行材料分析的元件或成分特定影像形成和缺陷檢查模式。
本文使用的單一放大率可以指大約(或在技術允許的情況下)由元件112投射到(也就是說,接收)的影像(由入射到DUT 108上的光建立)和DUT 108的對應部分之間的1:1 比例。例如,如果DUT 108的成像部分是1x1,則投射到元件112上的影像也是1x1(或可能是1x1.2或1.2x1,等等)。在這種範例中,DUT 108的成像部分可以是在特定時間點被檢查的DUT 108的部分。
應當理解,揭露的標的不限於在以下描述中闡述或在附圖中舉例說明的部件的構造細節和佈置。揭露的標的能夠以各種方式被實踐和進行。此外,應當理解,本文所採用的措辭和用語是為了描述的目的而不應被視為限制性的。
因此,本領域技術人員將理解,本揭露所基於的概念可以容易地用作設計其它結構、系統、方法和媒體以實現所揭露的標的若干目的之基礎。
儘管在前述範例性實施例中已經描述和舉例說明了所揭露的標的,但是應當理解,本揭露僅透過範例的方式完成,並且可以對所揭露的標的之實施細節進行許多改變而不需要背離所揭露標的之精神和範圍。
100:缺陷檢測系統 102:前端系統 104:非相干光源 106A:光源附件 106B:光源附件 108:DUT 110:視訊檢測鏈 112:元件 114:輸出 116:距離 202A:光波 202B:光波 204A:光波 204B:光波 206A:光波 206B:光波 208A:峰值振幅線 208B:峰值振幅線 300:基於傳播的成像系統 302:缺陷 304:距離 308:距離 310:光波 312:垂直直線 314:垂直衰減線 316:垂直線 318:垂直線 400:電子地圖 500:「陰影圖像」影像 502:「陰影圖像」影像 504:「陰影圖像」影像 506:「陰影圖像」影像 508:「陰影圖像」影像 510:「陰影圖像」影像 512:「陰影圖像」影像 514:暗區 600A:缺陷檢測系統 602A:單光源 604A:單光源附件 606A:晶圓 608A:視訊檢測鏈 600B:缺陷檢測系統 602B:多光源 604B:多光源附件 606B:晶圓 608B:視訊檢測鏈 700:薄膜波導 702:基板層 704:內層 706:內層 708:內層 710:基板層 800:視訊檢測鏈 802:光波 804:元件 806:元件 808:元件 810A:元件 810B:元件 810C:元件 810D:元件 812:元件 814:元件 816:元件 900:視訊檢測鏈 902:光波 904:元件 906:元件 908:元件 910:元件 912:元件 914:元件 916:元件
當結合以下附圖考慮時,參考所揭露的標的之以下詳細描述可以更充分地理解所揭露的標的之各種目的、特徵和優點,其中相似的參考符號表示相似的元件。
[圖1]舉例說明了根據本文描述的一或多個實施例的系統。
[圖2]舉例說明了根據本文描述的一或多個實施例的從非相干光波到空間相干光波的範例轉換。
[圖3]描繪了根據本文描述的一或多個實施例的與DUT的部件互動的空間相干光波的範例。
[圖4]描繪了根據本文描述的一或多個實施例產生的電子地圖的範例。
[圖5]描繪了根據本文描述的一或多個實施例產生的電子地圖的範例。
[圖6A]舉例說明了根據本文描述的一或多個實施例的系統。
[圖6B]舉例說明了根據本文描述的一或多個實施例的系統。
[圖7]舉例說明了根據本文所述的一或多個實施例的光源附件。
[圖8]舉例說明了根據本文描述的一或多個實施例的視訊檢測鏈。
[圖9]舉例說明了根據本文描述的一或多個實施例的視訊檢測鏈。
100:缺陷檢測系統
102:前端系統
104:非相干光源
106A:光源附件
106B:光源附件
108:DUT
110:視訊檢測鏈
112:元件
114:輸出
116:距離

Claims (20)

  1. 一種系統包含: 發光裝置,其輸出能夠穿透待測裝置至少達到第一深度的光束,其中所述光束在初始輸出時包含空間相干光波; 視訊檢測鏈,其將入射在所述待測裝置上的光波轉換為可見光學光; 所述視訊檢測鏈進一步基於所述可見光學光產生指示所述待測裝置內的一或多個缺陷的電子地圖; 所述視訊檢測鏈進一步基於所述可見光學光產生指示所述待測裝置內的一或多個元件的濃度或分佈的第一電子地圖; 所述視訊檢測鏈進一步基於所述可見光學光產生指示下列中一或多者的第二電子地圖:所述待測裝置內的長度、面積、體積或實體量規的單位;以及 所述視訊檢測鏈進一步輸出所述第一電子地圖或所述第二電子地圖中之至少一者。
  2. 如請求項1的系統,其中所述視訊檢測鏈包含科學互補金屬氧化物半導體(sCMOS)、普通級CMOS或電荷耦合裝置(CCD)檢測器。
  3. 如請求項1的系統,其中所述視訊檢測鏈與所述待測裝置相距至少10毫米設置。
  4. 如請求項1的系統,其中所述視訊檢測鏈包含無焦子系統,所述無焦子系統包含一或多個可動態調節以改變所述可見光學光的放大率和數值孔徑的元件。
  5. 如請求項1的系統,其中所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸。
  6. 如請求項1的系統,其中所述第一深度為725微米並且所述第一電子地圖和所述第二電子地圖是相同的電子地圖。
  7. 如請求項1的系統,其中所述光源附件包含單毛細管或多毛細管。
  8. 如請求項1的系統,其中所述發光裝置包含非相干光源和光源附件,其中所述光源附件包含薄膜波導。
  9. 如請求項1的系統,其中所述視訊檢測鏈至少部分地基於與入射到所述待測裝置上的所述光波相關的衰減和相位相干干擾來產生所述電子地圖。
  10. 如請求項1的系統,其中所述發光裝置包含X射線而所述一或多個缺陷包含所述待測裝置內的間隙、斷裂或裂縫。
  11. 一種用於檢測半導體裝置之內缺陷的系統,包含: 發光裝置,其輸出能夠穿透待測裝置至少達到第一深度的光束,其中所述光束在初始輸出時包含空間相干光波;以及 視訊檢測鏈,其中: 所述視訊檢測鏈在距離所述待測裝置10毫米到1米之間移動; 所述視訊檢測鏈至少部分地基於入射在所述待測裝置上的光波來接收所述待測裝置的至少一部分的影像,其中所述影像的尺寸的放大率與所述待測裝置的所述部分的尺寸一致; 所述視訊檢測鏈基於所述待測裝置的所述至少一部分的所述影像,產生指示所述待測裝置內的一或多個缺陷的電子地圖;以及 所述視訊檢測鏈輸出所述電子地圖。
  12. 如請求項11的系統,其中所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸。
  13. 如請求項11的系統,其中所述發光裝置包含非相干光源和光源附件,其中所述非相干光源具有不超過50毫米×50毫米×50毫米的尺寸,以及所述光源附件具有長度不超過200毫米和直徑不超過50毫米的尺寸。
  14. 如請求項11的系統,其中所述發光裝置包含X射線而所述一或多個缺陷包含所述待測裝置內的間隙、斷裂或裂縫。
  15. 如請求項11的系統,其中所述電子地圖指示所述待測裝置內的一或多個材料層的化學成分。
  16. 如請求項11的系統,其中所述電子地圖指示下列中的一或多者:所述待測裝置的一或多個部分的長度、所述待測裝置的一或多個部分的面積、所述待測裝置的一或多個部分的尺寸、或所述待測裝置的一或多個部分的度量衡。
  17. 一種用於檢測半導體裝置之內缺陷的方法,包含: 從發光裝置輸出能夠穿透待測裝置至少達到第一深度的光束,其中所述光束包含空間相干光波; 透過視訊檢測鏈,至少部分地基於入射在所述待測裝置上的光波,接收所述待測裝置的至少一部分的影像,其中所述影像的尺寸的放大率與所述待測裝置的所述部分的尺寸一致,所述視訊檢測鏈在距離所述待測裝置10毫米到1米之間移動; 至少部分基於所述待測裝置的所述至少一部分的所述影像,產生指示所述待測裝置內的一或多個缺陷的電子地圖;以及 輸出所述電子地圖。
  18. 如請求項17的系統,其中所述第一深度為725微米。
  19. 如請求項17的方法,其中所述發光裝置包含X射線而所述一或多個缺陷包含所述待測裝置內的間隙、斷裂或裂縫。
  20. 如請求項17的方法,其中所述電子地圖指示下列中的一或多者:所述待測裝置的一或多個部分的長度、所述待測裝置的一或多個部分的面積、所述待測裝置的一或多個部分的尺寸、所述待測裝置的一或多個部分的度量衡、或所述待測裝置內的一或多個材料層的化學成分。
TW110138166A 2020-10-16 2021-10-14 用於檢測半導體系統中之缺陷的方法及設備 TW202219495A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/073,042 2020-10-16
US17/073,042 US20220120699A1 (en) 2020-10-16 2020-10-16 Methods and apparatus for detecting defects in semiconductor systems
US17/147,317 US20220120698A1 (en) 2020-10-16 2021-01-12 Methods and apparatus for detecting defects in semiconductor systems
US17/147,317 2021-01-12

Publications (1)

Publication Number Publication Date
TW202219495A true TW202219495A (zh) 2022-05-16

Family

ID=81186064

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110138166A TW202219495A (zh) 2020-10-16 2021-10-14 用於檢測半導體系統中之缺陷的方法及設備

Country Status (5)

Country Link
US (1) US20220120698A1 (zh)
EP (1) EP4229401A1 (zh)
KR (1) KR20230105675A (zh)
TW (1) TW202219495A (zh)
WO (1) WO2022082057A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116402896A (zh) * 2023-06-08 2023-07-07 上海韬润半导体有限公司 一种基于图形算法检查电源结构的方法和***
CN116594051A (zh) * 2023-05-31 2023-08-15 中山大学 一种大面积高空间和时间分辨超硬x射线成像***
TWI827060B (zh) * 2022-05-19 2023-12-21 日商理學股份有限公司 全反射螢光x射線分析裝置
US11867646B2 (en) 2020-12-01 2024-01-09 Rigaku Corporation Total reflection x-ray fluorescence spectrometer

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604133B2 (en) * 2019-04-22 2023-03-14 Rutgers, The State University Of New Jersey Use of multi-frequency impedance cytometry in conjunction with machine learning for classification of biological particles
CN115147429B (zh) * 2022-09-07 2022-11-08 深圳市欣冠精密技术有限公司 用于光学玻璃预制件的条纹检测方法
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002040970A1 (en) * 2000-11-15 2002-05-23 Real Time Metrology, Inc. Optical method and apparatus for inspecting large area planar objects
WO2004072629A1 (en) * 2003-02-17 2004-08-26 Nanyang Technological University System and method for inspection of silicon wafers
US8320728B2 (en) * 2005-09-08 2012-11-27 Georgia Tech Research Corporation Film thin waveguides, methods of fabrication thereof, and detection systems
US20140268105A1 (en) * 2013-03-15 2014-09-18 Zygo Corporation Optical defect inspection system
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11867646B2 (en) 2020-12-01 2024-01-09 Rigaku Corporation Total reflection x-ray fluorescence spectrometer
TWI827060B (zh) * 2022-05-19 2023-12-21 日商理學股份有限公司 全反射螢光x射線分析裝置
CN116594051A (zh) * 2023-05-31 2023-08-15 中山大学 一种大面积高空间和时间分辨超硬x射线成像***
CN116594051B (zh) * 2023-05-31 2024-01-26 中山大学 一种大面积高空间和时间分辨超硬x射线成像***
CN116402896A (zh) * 2023-06-08 2023-07-07 上海韬润半导体有限公司 一种基于图形算法检查电源结构的方法和***
CN116402896B (zh) * 2023-06-08 2023-08-04 上海韬润半导体有限公司 一种基于图形算法检查电源结构的方法和***

Also Published As

Publication number Publication date
KR20230105675A (ko) 2023-07-11
US20220120698A1 (en) 2022-04-21
WO2022082057A1 (en) 2022-04-21
EP4229401A1 (en) 2023-08-23

Similar Documents

Publication Publication Date Title
TW202219495A (zh) 用於檢測半導體系統中之缺陷的方法及設備
US10559396B2 (en) Devices processed using x-rays
US7561662B2 (en) X-ray micro-tomography system optimized for high resolution, throughput, image quality
US7130375B1 (en) High resolution direct-projection type x-ray microtomography system using synchrotron or laboratory-based x-ray source
US11688067B2 (en) Methods and systems for detecting defects in devices using X-rays
KR102142488B1 (ko) 미세 결함 검사용 비파괴 검사 장치 및 방법
US11448603B1 (en) Methods and apparatuses for microscopy and spectroscopy in semiconductor systems
JP2015021784A (ja) 二次元画像検出システム
US20140348290A1 (en) Apparatus and Method for Low Capacitance Packaging for Direct Conversion X-Ray or Gamma Ray Detector
US20220120699A1 (en) Methods and apparatus for detecting defects in semiconductor systems
Vaga et al. Recent advances in x-ray technology
JP6643271B2 (ja) X線検査装置
TW201740136A (zh) 從半導體圖像檢測器輸出資料的方法
US11815349B2 (en) Methods and systems for inspecting integrated circuits based on X-rays
KR20190071111A (ko) 엑스선 검사 장비 및 이를 이용하는 반도체 장치 제조 방법
Bethke et al. Applications and new developments in X-ray materials analysis with MEDIPIX2
Elmer et al. Synchrotron radiation microtomography for large area 3D imaging of multilevel microelectronic packages
JP3107593B2 (ja) パターン検査装置
JP2017203752A (ja) X線検査装置
Roth et al. Inspection of miniaturised interconnections in IC packages with nanofocus® X-Ray tubes and nanoCT
KR102469666B1 (ko) X선 검사 장치
JPH04319610A (ja) フリップチップ検査装置
Bryant et al. Recent Advances in X-ray for Semicon Applications
Bryant et al. Advances in X-ray for semicon applications
Kudella Qualification of barrel pixel detector modules for the phase 1 upgrade of the CMS vertex detector