TW202201574A - 半導體封裝裝置及其製造方法 - Google Patents

半導體封裝裝置及其製造方法 Download PDF

Info

Publication number
TW202201574A
TW202201574A TW110117233A TW110117233A TW202201574A TW 202201574 A TW202201574 A TW 202201574A TW 110117233 A TW110117233 A TW 110117233A TW 110117233 A TW110117233 A TW 110117233A TW 202201574 A TW202201574 A TW 202201574A
Authority
TW
Taiwan
Prior art keywords
passivation layer
layer
etch stop
capacitor
redistribution line
Prior art date
Application number
TW110117233A
Other languages
English (en)
Other versions
TWI780704B (zh
Inventor
黃嘉銘
鄭明達
李松柏
陳榮佑
管清華
李梓光
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/197,483 external-priority patent/US11935826B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201574A publication Critical patent/TW202201574A/zh
Application granted granted Critical
Publication of TWI780704B publication Critical patent/TWI780704B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/13Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body combined with thin-film or thick-film passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02313Subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02333Structure of the redistribution layers being a bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Die Bonding (AREA)

Abstract

一種半導體封裝裝置的製造方法,包括在導電特徵上方沉積第一鈍化層,其中第一鈍化層具有第一介電常數。此方法亦包括在第一鈍化層上方形成電容器。此方法更包括在電容器上方沉積第二鈍化層,其中第二鈍化層具有大於第一介電常數的第二介電常數。此方法更包括在電容器上方形成重分佈線,重分佈線電性連接至電容器。此方法亦包括在重分佈線上方沉積第三鈍化層,以及形成凸塊下金屬層穿透第三鈍化層,以電性連接至重分佈線。

Description

半導體封裝裝置及其製造方法
本揭露實施例係有關於一種半導體封裝裝置及其製造方法,特別是有關於一種具有與電容器電極的頂面接觸的通孔的半導體封裝裝置及其製造方法。
在積體電路的形成中,例如電晶體的積體電路裝置形成在晶圓中的半導體基底的表面處。 接著,在積體電路裝置上方形成互連結構。 金屬墊形成在互連結構上方且電性耦合至互連結構。 鈍化層和第一聚合物層形成在金屬墊上方,透過鈍化層和第一聚合物層中的開口顯露出金屬墊。
隨後,可形成重分佈線(redistribution line)以連接到金屬墊的頂面,接下來在重分佈線上方形成第二聚合物層。凸塊下金屬層(under-bump metallurgies;UBM)形成以延伸到第二聚合物層中的開口中,其中凸塊下金屬層電性連接到重分佈線。可將焊球置於凸塊下金屬層上且進行回流。
本揭露實施例提供一種半導體封裝裝置的製造方法,包括:在導電特徵上沉積第一鈍化層,其中第一鈍化層具有第一介電常數。在第一鈍化層上形成電容器,在電容器上沉積第二鈍化層,其中第二鈍化層具有大於第一介電常數的第二介電常數。在電容器上形成重分佈線且電性連接至電容器。在重分佈線上沉積第三鈍化層,形成穿透第三鈍化層的凸塊下金屬層,以電性連接至重分佈線。
本揭露實施例提供一種半導體封裝裝置,包括:導電墊和位於導電墊上方的第一鈍化層,其中所述第一鈍化層包括第一介電材料,所述第一鈍化層具有第一介電常數。此裝置更包括位於第一鈍化層上方的第二鈍化層,其中第二鈍化層具有高於第一介電常數的第二介電常數。此裝置亦包括電容器夾在第一鈍化層和第二鈍化層之間。此裝置包括位在第二鈍化層上方的第三鈍化層。此裝置更包括第一重分佈線,穿透第二鈍化層以接觸電容器的電容器電極的頂面。此裝置亦包括第二重分佈線,穿透第二鈍化層和第一鈍化層以接觸導電墊。
本揭露實施例提供一種半導體封裝裝置,包括:導電特徵以及位在導電特徵上方且與導電特徵接觸的第一蝕刻停止層。此裝置更包括位在第一蝕刻停止層上方的第一鈍化層,其中第一鈍化層具有第一孔隙率值。此裝置亦包括位在第一鈍化層上方的電容器、位在電容器上方的第二蝕刻停止層以及位在第二蝕刻停止層上方的第二鈍化層,其中第二鈍化層具有小於第一孔隙率值的第二孔隙率值。此裝置更包括第一重分佈線,穿透第二鈍化層和第二蝕刻停止層以電性連接到電容器。此裝置亦包括第二重分佈線,穿透第二鈍化層、第一鈍化層和第一蝕刻停止層,以電性連接到導電特徵。
應了解的是,以下的揭露內容提供許多不同的實施例或範例以實施本揭露實施例的不同特徵。以下敘述構件及配置的特定範例,以簡化本揭露實施例的說明。當然,這些特定的範例僅為示範並非用以限定本揭露實施例。舉例而言,在以下的敘述中提及第一特徵形成於第二特徵上或上方,即表示其可包括第一特徵與第二特徵是直接接觸的實施例,亦可包括有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵可能未直接接觸的實施例。另外,本揭露在各種範例中可能使用重複的標號及/或字母。這些重複是為了簡潔且清楚起見,且不表示所述的各種實施例及/或構造之間有特定關係。
此外,在此可使用與空間相關用詞。例如「底下」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,以便於描述圖式中繪示的一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此做同樣的解釋。
根據一些實施例,提供一種裝置及其形成方法。此裝置包括一個電容器,此電容器可以是金屬-絕緣體-金屬(Metal-Insulator-Metal;MIM)電容器。電容器形成在第一鈍化層上方,且被第二鈍化層覆蓋。第一鈍化層具有比第二鈍化層低的介電常數(介電常數值)。當使用相同的蝕刻氣體進行蝕刻時,第一鈍化層的蝕刻速度比第二鈍化層的蝕刻速度快,因此在蝕刻製程中,減少了負載效應。根據一些實施例繪示出形成封裝體的中間階段。說明一些實施例的一些變型。在各種視圖和說明性實施例中,使用相似的參考標號以指示相似的元件。
第1圖至第15圖繪示根據本揭露的一些實施例之在形成裝置的中間階段的剖視圖。如第16圖所示,相應的製程也示意性地反映在製程流程200中。可以理解的是,儘管使用裝置晶圓和裝置晶粒作為範例,但是本揭露實施例也可以應用於在其他裝置(封裝元件)中形成導電特徵,前述裝置包括但不限於封裝基板、中介層、封裝體等。
第1圖繪示積體電路裝置20的剖面圖。根據本揭露的一些實施例,裝置20是或包括裝置晶圓,此裝置晶圓包括主動裝置和可能的被動裝置,其被表示為積體電路裝置26。裝置20可包括多個晶片22,在此繪示出晶片22之其中一者。根據本揭露的替代實施例,裝置20是中介層晶圓,其不具有主動裝置,且可包括或可不包括被動裝置。根據本揭露的又一替代實施例,裝置20是或包括封裝基底條,此封裝基底條包括無芯封裝基底或其中具有芯的帶芯封裝基底。在後續的說明中,將裝置晶圓作為裝置20的範例,且裝置20也可被稱為晶圓20。本揭露實施例也可應用於中介層晶圓、封裝基板、封裝體等。
根據本揭露的一些實施例,晶圓20包括半導體基底24和形成在半導體基底24的頂面上的特徵。半導體基底24可由結晶矽、結晶鍺、矽鍺、碳摻雜矽或三五族(III-V)化合物半導體(例如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP等)形成或包括上述材料。半導體基底24也可以是主體半導體基底或絕緣體上半導體(semiconductor-on-insulator;SOI)基底。可在半導體基底24中形成淺溝槽隔離(shallow trench isolation;STI)區域(未圖示)以隔離半導體基底24中的主動區域。雖然未圖示,但是可以(或可以不形成)通孔以延伸到半導體基底24中,其中通孔是用於將晶圓20的相反側上的特徵電性互連。
根據本揭露的一些實施例,晶圓20包括形成在半導體基底24的頂面上的積體電路裝置26。根據一些實施例,積體電路裝置26可包括互補金屬氧化物半導體(Complementary Metal-Oxide Semiconductor;CMOS)電晶體、電阻器、電容器、二極體等。本揭露並未繪示積體電路裝置26的細節。根據替代實施例,晶圓20用於形成中介層(其不具有主動裝置),且基底24可以是半導體基底或介電質基底。
層間介電質(Inter-Layer Dielectric;ILD)28形成在半導體基底24上方,且填充積體電路裝置26中電晶體(未圖示)的閘極堆疊之間的空間。根據一些實施例,層間介電質28由磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼摻雜磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)、摻氟矽酸鹽玻璃(Fluorine-doped Silicate Glass;FSG)、氧化矽等形成。可使用旋轉塗佈、可流動式化學氣相沉積(Flowable Chemical Vapor Deposition;FCVD)等方式形成層間介電質28。根據本揭露的一些實施例,使用例如電漿增強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)、低壓化學氣相沉積(Low Pressure Chemical Vapor Deposition;LPCVD)等沉積方法來形成層間介電質28。
接觸插塞30形成在層間介電質28中,且用於將積體電路裝置26電性連接到上方的金屬線和通孔。根據本揭露的一些實施例,接觸插塞30由選自鎢、鋁、銅、鈦、鉭、氮化鈦、氮化鉭、前述的合金及/或其多層的導電材料形成或包括上述導電材料。接觸插塞30的形成可包括:在層間介電質28中形成接觸開口,將導電材料填充到接觸開口中,以及執行平坦化製程(例如化學機械拋光(Chemical Mechanical Polish;CMP)製程或機械研磨製程)以使接觸插塞30的頂面與層間介電質28的頂面齊平。
互連結構32位於層間介電質28和接觸插塞30之上。互連結構32包括金屬線34和通孔36,其形成在介電層38(也稱為金屬間介電層(Inter-metal Dielectrics;IMD))中。以下將相同水平的金屬線統稱為金屬層。根據本揭露的一些實施例,互連結構32包括多個金屬層,所述多個金屬層包括透過通孔36互連的金屬線34。金屬線34和通孔36可由銅或銅合金形成,且也可以是由其他金屬形成。根據本揭露的一些實施例,介電層38由低介電常數的介電材料形成。舉例而言,低介電常數介電材料的介電常數(介電常數值)可小於約3.0。介電層38可包括含碳的低介電常數介電材料、含氫矽氧烷(Hydrogen SilsesQuioxane;HSQ)、甲基矽氧烷(MethylSilsesQuioxane ;MSQ)等。根據本揭露的一些實施例,介電層38的形成包括在介電層38中沉積含成孔劑的介電質材料,隨後執行固化製程以驅除成孔劑,因此剩餘的介電層38是多孔的。
在介電層38中金屬線34和通孔36的形成可包括單鑲嵌製程及/或雙鑲嵌製程。在用於形成金屬線或通孔的單鑲嵌製程中,首先在介電層38之其中一者中形成溝槽或通孔開口,接著以導電材料填充溝槽或通孔開口。接下來執行例如化學機械拋光製程的平坦化製程以移除高於介電層的頂面的導電材料的多餘部分,進而在對應的溝槽或通孔開口中留下金屬線或通孔。在雙鑲嵌製程中,在介電層中形成溝槽和通孔開口,通孔開口位於溝槽下方且連接至溝槽。隨後將導電材料填充到溝槽和通孔開口中以分別形成金屬線和通孔。導電材料可包括擴散阻擋層和位在擴散阻擋層上方的含銅金屬材料。擴散阻擋層可包括鈦、氮化鈦、鉭、氮化鉭等。
在作為介電層38的頂層的頂部介電層(表示為介電層38A)中金屬線34包括頂部導電(金屬)特徵,例如金屬線、金屬墊(表示為34A)或通孔(表示為36A)等。根據一些實施例,介電層38A由與介電層38的下層的材料相似的低介電常數介電材料形成。根據其他實施例,介電層38A由非低介電常數的介電材料形成,其可包括氮化矽、未摻雜矽酸鹽玻璃(Undoped Silicate Glass;USG)、氧化矽等。介電層38A也可以具有多層結構,此多層結構包括例如兩個未摻雜矽酸鹽玻璃層和介於前述兩者之間的氮化矽層。頂部金屬特徵34A也可以由銅或銅合金形成,且可具有雙鑲嵌結構或單鑲嵌結構。介電層38A有時被稱作頂部介電層。頂部介電層38A和緊接在頂部介電層38A下方的下方介電層38可形成為單一連續介電層,或者可使用不同的製程形成為不同的介電層,及/或由彼此不同的材料所形成。
參照第2圖,在互連結構32上方沉積第一蝕刻停止層39。相應的製程在第16圖所示的製程流程200中被繪示為製程202。 根據一些實施例,第一蝕刻停止層39由碳化矽、氮化矽、氮化矽碳、碳氧化矽等形成或包括上述材料。可使用原子層沉積(Atomic layer Deposition;ALD)、化學氣相沉積(Chemical Vapor Deposition;CVD)、電漿增強化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)等來執行沉積製程。
在第一蝕刻停止層39上方形成鈍化層40(有時稱為第一鈍化層)。在第16圖所示的製程流程200中,相應的製程被繪示為製程204。在一些實施例中,鈍化層40由介電常數等於或大於氧化矽的介電常數的非低介電常數介電材料形成。鈍化層40可由無機介電材料形成或包括無機介電材料,此無機介電材料可包括選自但不限於未摻雜的矽酸鹽玻璃、氧化矽(SiO2 )、碳氧化矽(SiOCx )、氮氧化矽(SiONx )、含碳的低介電常數介電質材料、氮化矽等。數值「x」表示相對原子比。根據一些實施例,頂部介電層38A和金屬線34A的頂部表面是共平面的。因此,蝕刻停止層39和鈍化層40可以是平面層。根據替代實施例,頂部導電特徵突出高於頂部介電層38A的頂面,且蝕刻停止層39和鈍化層40是非平面的。
鈍化層40可以是低介電常數介電層,且根據一些實施例可以是多孔的。舉例而言,鈍化層40可以由上述材料形成或包括上述材料,且形成孔洞以減小其介電常數值。孔隙率可在約10%至約30%之間的範圍內。鈍化層40的形成可包括但不限於化學氣相沉積、電漿增強化學氣相沉積等。根據一些實施例,在鈍化層40的形成中摻入成孔劑,隨後執行固化製程以驅除成孔劑,進而留下多孔的鈍化層40。
第3圖繪示根據一些實施例的電容器52。相應的製程在第16圖中所示的製程流程200中被繪示為製程206。電容器52可以是金屬-絕緣體-金屬(MIM)電容器,其包括至少兩個(可能更多)電容器板(電極)和對應的一或多個電容器絕緣體位於前述電容器板之間。根據替代實施例,可以採用其他類型的電容器,例如金屬氧化物金屬(Metal-Oxide-Metal;MOM)電容器。應理解的是,可以使用與第3圖所述的製程不同的製程來形成電容器52,本揭露也考量了上述製程。參照第3圖,形成電容器電極42A、42B和42C,其被統稱為電容器電極42。電容器絕緣體44A和44B被統稱為電容器絕緣體44,形成在電容器電極42之間。每個電容器電極42和每個電容器絕緣體44的形成可以包括沉積製程,接著是可能透過蝕刻的圖案化製程,以產生所期望的形狀和尺寸,例如第3圖所示。可以使用包括但不限於原子層沉積(ALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)等方法來執行電容器電極42和電容器絕緣體44的沉積。電容器52的厚度可介於約400埃至約800埃之間的範圍內。
根據本揭露的一些實施例,電極42是由例如氮化鈦(TiN)的金屬氮化物形成或包括例如氮化鈦(TiN)的金屬氮化物。電容器電極42的厚度可介於約300埃至約500埃之間的範圍內。根據其他實施例,可以使用其他金屬、金屬合金和金屬氮化物,例如鎢、氮化鎢(WN)等。
根據本揭露的一些實施例,每個電容器絕緣體44是由例如氧化鋯(ZrO2 )的均質介電材料形成的單層。根據本揭露的其他實施例,一或多個電容器絕緣體44可以是由堆疊的介電層形成的複合層。舉例而言,電容器絕緣體44之其中一者可以由含鋯的介電層(例如ZrO2 層)和含鋁的介電層(例如Al2 O3 層)形成。電容器絕緣體44也可以由ZrO2 /Al2 O3 /ZrO2 (ZAZ)形成,其包括第一ZrO2 層,在第一ZrO2 層上方的Al2 O3 層和在Al2 O3 層上方的第二ZrO2 層。ZAZ具有等效氧化物厚度低的優點,因此所得電容器的電容值高。電容器絕緣體44的厚度可介於約0.1μm至約0.5μm之間的範圍內。
第4圖繪示第二蝕刻停止層46的形成。在第16圖所示的製程流程200中,相應的過程被繪示為製程208。在一些實施例中,第二蝕刻停止層46由與第一蝕刻停止層39相同的材料形成。蝕刻停止層46的材料也可以與蝕刻停止層39不同,但可以類似於蝕刻停止層39。如此一來,在後續的蝕刻製程中,這兩個蝕刻停止層可以使用相同的蝕刻化學品/製程氣體在同一蝕刻製程中被蝕刻。根據一些實施例,蝕刻停止層46由碳化矽、氮化矽、氮化矽碳、碳氧化矽等形成或包括上述材料,可使用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)等來進行形成。根據一些實施例,在蝕刻製程中對蝕刻停止層46進行圖案化,以使其覆蓋電容器52,同時移除蝕刻停止層46的其他一些部分。舉例而言,可以移除位在金屬墊34A的一部分正上方的蝕刻停止層46的一部分。
如第5圖所示,在互連結構32上形成鈍化層54(有時被稱為第二鈍化層)。在第16圖所示的製程流程200中,相應的製程被繪示為製程210。根據一些實施例,鈍化層54由無機介電材料形成或包括無機介電材料,此無機介電材料可包括但不限於選自未摻雜的矽酸鹽玻璃、氧化矽、碳氧化矽、氮氧化矽等的材料。鈍化層40和54的材料可以彼此相同。根據替代實施例,鈍化層40和54的材料彼此不同,但是也彼此相似。舉例而言,在鈍化層40和54均由碳氧化矽形成的實施例中,鈍化層40和54中的矽、氧和碳的原子百分比可具有小於約5%的差異。
根據一些實施例,鈍化層40和54由相同的材料形成,而鈍化層40的孔隙率大於鈍化層54的孔隙率。舉例而言,假設鈍化層40的孔隙率值為PRV40,而鈍化層54具有孔隙率值PRV54,其中孔隙率值表示為百分比。兩者的差異(PRV40-PRV54)可能大於約10%,且可能介於約10%至約30%之間的範圍內。由於鈍化層40具有較高的孔隙率,鈍化層40的介電常數值也會低於鈍化層54的介電常數值。舉例而言,鈍化層40的介電常數值可介於約3.0至約4.0之間的範圍內,而鈍化層54的介電常數值可介於約3.8至約5.0之間的範圍內。使鈍化層40和54具有相同或相似的材料,但是使鈍化層40具有更大的孔隙率在後續的蝕刻製程中是有利的。在此蝕刻製程中,鈍化層40的蝕刻速度比鈍化層54的蝕刻速度更快。根據替代實施例,鈍化層40和54由不同的材料形成。
參照第6圖,在蝕刻製程中將鈍化層54和40圖案化以形成開口56,其包括開口56A、56B、56C和56D。相應的製程在第16圖所示的製程流程200中被繪示為製程212。蝕刻製程可以包括乾式蝕刻製程,其包括形成圖案化的蝕刻遮罩(未圖示)(例如圖案化的光阻),隨後蝕刻鈍化層54和40。在開口56D的形成中,如果電容器絕緣體44顯露於開口56,則電容器絕緣體44也會被蝕刻。接著移除圖案化的蝕刻遮罩。使用非等向性乾式蝕刻製程執行蝕刻,且將蝕刻氣體用作蝕刻化學品。蝕刻製程停止於蝕刻停止層39和46上。因此,形成蝕刻停止層39和46以容易選擇侵蝕鈍化層54、40以及電容器絕緣體44,但是不侵蝕蝕刻停止層39和46的蝕刻氣體。可以理解的是,由於開口56D比開口56A、56B和56C深,且需要蝕刻額外的鈍化層40,所以使鈍化層40具有多孔(具有低介電常數值)是有利的。如此一來,當顯露鈍化層40時,蝕刻速率增加,因而減少在形成開口56時的負載效應。可替代地,鈍化層40(由低介電常數介電材料形成)是由與鈍化層54的材料不同的材料形成,且具有比鈍化層54更高的蝕刻速率。舉例而言,蝕刻速率ER40/蝕刻速率ER54的比可以大於約1.5或大於約2.0,且可介於約1.5至約5.0的範圍內,其中蝕刻速率ER54是鈍化層54的蝕刻速率,蝕刻速率ER40是鈍化層40的蝕刻速率。
參照第7圖,蝕刻穿過蝕刻停止層39和46,進而暴露出下方的電容器電極42A、42B和42C以及金屬墊34A。開口56A也穿透電容器絕緣體44B,且開口56C也穿透電容器絕緣體44A和44B。使用電容器電極42A、42B和42C作為蝕刻停止層來執行蝕刻製程。可以使用濕式蝕刻製程或乾式蝕刻製程來執行蝕刻停止層39和46的蝕刻,且蝕刻製程可以是等向性的或非等向性的。
第8圖繪示金屬種子層58的沉積。在第16圖所示的製程流程200中,相應的製程被繪示為製程214。根據一些實施例,金屬種子層58包括鈦層和位於鈦層上方的銅層。根據替代實施例,金屬種子層58包括與鈍化層40接觸的銅層。可以使用物理氣相沉積(Physical Vapor Deposition;PVD)、化學氣相沉積(CVD)、金屬有機化學氣相沉積(Metal Organic Chemical Vapor Deposition;MOCVD)等來執行沉積製程。
第8圖進一步繪示圖案化的電鍍遮罩60的形成。在第16圖所示的製程流程200中,相應的製程被繪示為製程216。根據一些實施例,電鍍遮罩60由光阻形成,且因此可替換地將其稱為光阻60。在圖案化的電鍍遮罩60中形成開口62以顯露出金屬種子層58。開口62的寬度可以介於約1μm至約3μm之間的範圍內。
第9圖繪示將導電材料(特徵)64電鍍至開口62中以及在金屬種子層58上。相應的製程在第16圖所示的製程流程200中被繪示為製程218。在本揭露中,導電特徵64的形成包括電鍍製程,此電鍍製程可包括電化學電鍍製程、化學鍍製程等。電鍍在電鍍化學溶液中進行。導電特徵64可以包括銅、鋁、鎳、鎢等或前述的合金。根據一些實施例,導電特徵64包括銅,且不含鋁。
接下來,移除如第9圖所示的光阻(電鍍遮罩)60。相應的製程在第16圖所示的製程流程200中被繪示為製程220。在後續的製程中,執行蝕刻製程以移除未被上方的導電特徵64保護的金屬種子層58的部分。所得的結構也顯示在第10圖中。在第16圖所示的製程流程200中,相應的製程被繪示為製程222。在整個說明書中,導電特徵64和對應的下方金屬種子層58被統稱為重分佈線(Redistribution Lines;RDL)66,其包括重分佈線66A、66B、66C和66D。每個重分佈線66可包括延伸到鈍化層40中的通孔部分66V和在鈍化層54之上方的跡線部分/線部分66T。重分佈線66A、66B和66C電性連接到電容器電極42。重分佈線66D可以電性連接至導電特徵34A。重分佈線66A、66B和66D中的通孔66V是透過頂部接觸而不是如傳統連接方案中的邊緣接觸來與電容器電極42接觸,因而降低接觸電阻。
參照第11圖,沉積鈍化層68。相應的製程在第16圖所示的製程流程200中被繪示為製程224。鈍化層68(有時被稱為第三鈍化層)形成為毯覆層。根據一些實施例,鈍化層68由無機介電材料形成或包括無機介電材料,此無機介電材料可包括但不限於氮化矽、氧化矽、氮氧化矽、碳氧化矽、碳化矽或其他類似物、前述的組合或多層。可透過例如原子層沉積(ALD)、化學氣相沉積(CVD)等共形沉積製程來執行沉積。因此,鈍化層68的垂直部分和水平部分具有相同的厚度或大致相同的厚度。舉例而言,鈍化層68的厚度變化小於約20%或小於約10%。鈍化層68可以由與鈍化層54的材料相同或不同的材料形成。鈍化層68可以由與鈍化層40的材料相同或不同的材料形成,其中鈍化層68可具有低於鈍化層40的孔隙率。因此,鈍化層68的介電常數值和孔隙率可以類似於鈍化層54的介電常數值和孔隙率。
參照第12圖,沉積平坦化層70,接著對平坦化層70進行圖案化以形成開口72。在第16圖所示的製程流程200中,相應的製程被繪示為製程226。平坦化層70可由聚合物形成。此聚合物可以是光敏性的或非光敏性的。用於形成平坦化層70的光敏性聚合物可以包括聚醯亞胺、聚苯並噁唑(PBO)等。當平坦化層70是光敏性的時,平坦化層70的圖案化可包括在平坦化層70上執行曝光製程,隨後顯影平坦化層70以形成開口72。根據平坦化層70為非光敏性的替代實施例,舉例而言,當平坦化層70包括非光敏性環氧樹脂/聚合物時,平坦化層70的圖案化可包括在平坦化層70上施加光阻且將光阻圖案化,並利用圖案化的光阻來定義開口的圖案,以蝕刻平坦化層70。
進一步參照第12圖,進行蝕刻製程以蝕刻穿透鈍化層68,使得開口72進一步穿透鈍化層68。在第16圖所示的製程流程200中,相應的製程被繪示為製程228。應理解的是,鈍化層68的蝕刻可以在平坦化層70的蝕刻之前或之後進行。
第13圖繪示金屬種子層74的沉積。在第16圖所示的製程流程200中,相應的製程被繪示為製程230。根據一些實施例,金屬種子層74包括鈦層和位於鈦層上方的銅層。根據替代實施例,金屬種子層74包括與平坦化層70、鈍化層68以及重分佈線66A、66B、66C和66D接觸的銅層。
接下來,電鍍導電區域75。在第16圖中所示的製程流程200中,相應的製程被繪示為製程232。用於電鍍導電區域75的製程可以包括形成圖案化的電鍍遮罩(例如光阻,未圖示),以及在電鍍遮罩的開口中電鍍導電區域75。導電區域75可以包括銅、鎳、鈀、鋁、前述的合金及/或前述的多層。接下來移除圖案化的電鍍遮罩。
接著,蝕刻金屬種子層74,且移除金屬種子層74在移除電鍍遮罩之後所顯露出的部分,而保留金屬種子層74位於導電區域75正下方的部分。相應的製程在第16圖所示的製程流程200中被繪示為製程234。在第14圖中繪示所得的結構。金屬種子層74的剩餘部分是凸塊下金屬層(UBM)74’。凸塊下金屬層74’和導電區域75組合形成通孔78和電性連接器76(也稱為導電凸塊或金屬凸塊)。
在後續的製程中,將晶圓20進行單一化。舉例而言,沿著切割線79切割晶圓20以形成單獨的裝置晶粒22。在第16圖所示的製程流程200中,相應的製程被繪示為製程236。裝置晶粒22由於裝置22可用以結合至其他封裝元件以形成封裝體,因此裝置晶粒22也被稱為裝置22或封裝元件22。如上所述,裝置22可以是裝置晶粒、中介層、封裝基底、封裝體等。
參照第15圖,將裝置22與封裝元件80結合以形成封裝體86。在第16圖所示的製程流程200中,相應的製程被繪示為製程238。根據一些實施例,封裝元件80是或包括中介層、封裝基板、印刷電路板、封裝體等。封裝元件80中的電性連接器83可透過焊料區域82結合至封裝元件80。底部填充物84分配在裝置22和封裝元件80之間。根據一些實施例,例如正電源電壓(VDD)和負電源電壓(VSS)(接地)的電源電壓可以是零。例如,重分佈線66A可以連接至電性接地,重分佈線66B和66C可以連接至VDD,因此使得電容器電極42A和42C互連。 重分佈線66D可以由邏輯電路使用,且可以提供邏輯訊號。
本揭露實施例具有一些有利特徵。連接到電容器板的通孔與電容器電極的頂面接觸,如此一來接觸電阻會低於使用邊緣接觸時的接觸電阻。此外,透過使用比電容器上方的鈍化層的低介電常數材料以在形成位於電容器下方的鈍化層,進而降低蝕刻鈍化層的負載效應。因此,提供用於對電容器和下方的金屬墊形成接觸的整合製程,此整合製程具有較低的負載效應。
根據本揭露的一些實施例,一種方法包括在導電特徵上沉積第一鈍化層,其中第一鈍化層具有第一介電常數。在第一鈍化層上形成電容器,在電容器上沉積第二鈍化層,其中第二鈍化層具有大於第一介電常數的第二介電常數。在電容器上形成重分佈線且電性連接至電容器。在重分佈線上沉積第三鈍化層,形成穿透第三鈍化層的凸塊下金屬層(UBM),以電性連接至重分佈線。
在一些實施例中,沉積第二鈍化層包括沉積與第一鈍化層相同的材料,其中與第二鈍化層相比,將更多的成孔劑結合至第一鈍化層中。在一些實施例中,形成電容器包括形成金屬-絕緣體-金屬電容器。在一些實施例中,此方法更包括在形成第一鈍化層之前,沉積第一蝕刻停止層。在形成電容器之後且在沉積第二鈍化層之前,沉積第二蝕刻停止層。進行蝕刻製程以蝕刻第二鈍化層形成在第二蝕刻停止層的第一頂面上停止的第一開口,蝕刻第二鈍化層和第一鈍化層以形成停止在第一蝕刻停止層的頂面上的第二開口。在一些實施例中,第一開口和第二開口在相同的蝕刻製程中形成。在一些實施例中,此方法更包括在共同製程中,蝕刻穿透第一蝕刻停止層和第二蝕刻停止層。在一些實施例中,使用相同的介電材料來沉積第一蝕刻停止層和第二蝕刻停止層。在一些實施例中,第一鈍化層是低介電常數介電層,第二鈍化層是非低介電常數介電層。
根據本揭露的一些實施例,一種裝置包括導電墊和位於導電墊上方的第一鈍化層,其中所述第一鈍化層包括第一介電材料,所述第一鈍化層具有第一介電常數。此裝置更包括位於第一鈍化層上方的第二鈍化層,其中第二鈍化層具有高於第一介電常數的第二介電常數。此裝置亦包括電容器夾在第一鈍化層和第二鈍化層之間。此裝置包括位在第二鈍化層上方的第三鈍化層。此裝置更包括第一重分佈線,穿透第二鈍化層以接觸電容器的電容器電極的頂面。此裝置亦包括第二重分佈線,穿透第二鈍化層和第一鈍化層以接觸導電墊。
在一些實施例中,第一鈍化層具有比第二鈍化層更高的孔隙率。在一些實施例中,第一鈍化層是低介電常數鈍化層,第二鈍化層是非低介電常數鈍化層。在一些實施例中,第一重分佈線和第二重分佈線中的每一者都包括夾在第二鈍化層和第三鈍化層之間的跡線部分,以及延伸到第二鈍化層中的通孔部分。在一些實施例中,此裝置更包括位在第一鈍化層下方且與第一鈍化層接觸的第一蝕刻停止層。此裝置更包括第二蝕刻停止層,位於電容器和第二鈍化層之間且與前述兩者接觸。在一些實施例中,第一重分佈線穿透第二蝕刻停止層,且第二重分佈線穿透第一蝕刻停止層。在一些實施例中,第一蝕刻停止層和第二蝕刻停止層是由相同的材料形成。
根據本揭露的一些實施例,一種裝置包括導電特徵以及位在導電特徵上方且與導電特徵接觸的第一蝕刻停止層。此裝置更包括位在第一蝕刻停止層上方的第一鈍化層,其中第一鈍化層具有第一孔隙率值。此裝置亦包括位在第一鈍化層上方的電容器、位在電容器上方的第二蝕刻停止層以及位在第二蝕刻停止層上方的第二鈍化層,其中第二鈍化層具有小於第一孔隙率值的第二孔隙率值。此裝置更包括第一重分佈線,穿透第二鈍化層和第二蝕刻停止層以電性連接到電容器。此裝置亦包括第二重分佈線,穿透第二鈍化層、第一鈍化層和第一蝕刻停止層,以電性連接到導電特徵。
在一些實施例中,第一重分佈線與第二蝕刻停止層接觸,且第一重分佈線與第一蝕刻停止層垂直地分隔開。在一些實施例中,第二重分佈線與第一蝕刻停止層接觸,且第二重分佈線與第二蝕刻停止層橫向地分隔開。在一些實施例中,第一鈍化層和第二鈍化層是由相同的介電材料形成,其中第一鈍化層的介電常數比第二鈍化層的介電常數低。在一些實施例中,第二蝕刻停止層具有底面,此底面與電容器的電容器電極的頂面形成界面。
以上概述了許多實施例的特徵,使本揭露所屬技術領域中具有通常知識者可以更加理解本揭露的各實施例。本揭露所屬技術領域中具有通常知識者應可理解,可以本揭露實施例為基礎輕易地設計或改變其他製程及結構,以實現與在此介紹的實施例相同的目的及/或達到與在此介紹的實施例相同的優點。本揭露所屬技術領域中具有通常知識者也應了解,這些相等的結構並未背離本揭露的精神與範圍。在不背離後附申請專利範圍的精神與範圍之前提下,可對本揭露實施例進行各種改變、置換及變動。
20:積體電路裝置(裝置) 22:晶片(裝置晶粒) 24:半導體基底 26:積體電路裝置 28:層間介電質 30:接觸插塞 32:互連結構 34:金屬線 34A:金屬墊(導電特徵) 36, 36A:通孔 38:介電層 38A:介電層(頂部介電層) 39:蝕刻停止層(第一蝕刻停止層) 40, 54:鈍化層 42, 42A, 42B, 42C:電容器電極 44, 44A, 44B:電容器絕緣體 46:蝕刻停止層(第二蝕刻停止層) 52:電容器 56, 56A, 56B, 56C, 56D:開口 58:金屬種子層 60:電鍍遮罩 62:開口 64:導電特徵 66, 66A, 66B, 66C, 66D:重分佈線 66T:跡線部分(線部分) 66V:通孔部分(通孔) 68:鈍化層 70:平坦化層 72:開口 74:金屬種子層 74’:凸塊下金屬層 75:導電區域 76:電性連接器 78:通孔 79切割線 80:封裝元件 82:焊料區域 83:電性連接器 84:底部填充物 86:封裝體 200:製程流程 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238:製程
根據以下的詳細說明並配合所附圖式以更好地了解本揭露實施例的概念。應注意的是,根據本產業的標準慣例,圖式中的各種特徵未必按照比例繪製。事實上,可能任意地放大或縮小各種特徵的尺寸,以做清楚的說明。在通篇說明書及圖式中以相似的標號標示相似的特徵。 第1圖至第15圖繪示根據本揭露一些實施例之製造封裝體的中間階段的剖視圖。 第16圖繪示根據本揭露一些實施例之製造裝置的流程圖。
200:製程流程
202,204,206,208,210,212,214,216,218,220,222,224,226,228,230,232,234,236,238:製程

Claims (20)

  1. 一種半導體封裝裝置的製造方法,包括: 在一導電特徵上方沉積一第一鈍化層,其中該第一鈍化層具有一第一介電常數; 在該第一鈍化層上方形成一電容器; 在該電容器上方沉積一第二鈍化層,其中該第二鈍化層具有大於該第一介電常數的一第二介電常數; 在該電容器上方形成一重分佈線,該重分佈線電性連接至該電容器; 在該重分佈線上方沉積一第三鈍化層;以及 形成穿透該第三鈍化層的一凸塊下金屬層,以電性連接至該重分佈線。
  2. 如請求項1所述之半導體封裝裝置的製造方法,其中沉積該第二鈍化層包括沉積與該第一鈍化層相同的材料,且結合至該第一材料層中的成孔劑多於結合至該第二材料層中的成孔劑。
  3. 如請求項1所述之半導體封裝裝置的製造方法,其中形成該電容器包括形成一金屬-絕緣體-金屬電容器。
  4. 如請求項1所述之半導體封裝裝置的製造方法,更包括: 在形成該第一鈍化層之前,沉積一第一蝕刻停止層; 在形成該電容器之後且在沉積該第二鈍化層之前,沉積一第二蝕刻停止層;以及 執行一蝕刻製程以蝕刻穿透第二鈍化層,形成在該第二蝕刻停止層的一第一頂面上停止的一第一開口,且蝕刻穿透該第二鈍化層和該第一鈍化層以形成在該第一蝕刻停止層的一頂面上停止的一第二開口。
  5. 如請求項4所述之半導體封裝裝置的製造方法,其中該第一開口和該第二開口是在同一蝕刻製程中形成。
  6. 如請求項4所述之半導體封裝裝置的製造方法,更包括: 在一共同製程中,蝕刻穿透該第一蝕刻停止層和該第二蝕刻停止層。
  7. 如請求項4所述之半導體封裝裝置的製造方法,其中使用相同的介電材料來沉積該第一蝕刻停止層和該第二蝕刻停止層。
  8. 如請求項1所述之半導體封裝裝置的製造方法,其中該第一鈍化層是低介電常數介電層,且該第二鈍化層是非低介電常數介電層。
  9. 一種半導體封裝裝置,包括: 一導電墊; 一第一鈍化層,位於該導電墊上方,其中該第一鈍化層包括一第一介電材料,且該第一鈍化層具有一第一介電常數; 一第二鈍化層,位於該第一鈍化層上方,其中該第二鈍化層具有高於該第一介電常數的一第二介電常數; 一電容器,夾在該第一鈍化層和該第二鈍化層之間; 一第三鈍化層,位在該第二鈍化層上方; 一第一重分佈線,穿透該第二鈍化層以接觸該電容器的一電容器電極的一頂面;以及 一第二重分佈線,穿透該第二鈍化層和該第一鈍化層以接觸該導電墊。
  10. 如請求項9所述之半導體封裝裝置,其中該第一鈍化層具有比該第二鈍化層更高的孔隙率。
  11. 如請求項9所述之半導體封裝裝置,其中該第一鈍化層是低介電常數鈍化層,且該第二鈍化層是非低介電常數鈍化層。
  12. 如請求項9所述之半導體封裝裝置,其中該第一重分佈線和該第二重分佈線的每一者都包括: 一跡線部分,夾在該第二鈍化層和該第三鈍化層之間;以及 一通孔部分,延伸到該第二鈍化層中。
  13. 如請求項9所述之半導體封裝裝置,更包括: 一第一蝕刻停止層,位在該第一鈍化層下方且與該第一鈍化層接觸;以及 一第二蝕刻停止層,位於該電容器和該第二鈍化層之間,且與該電容器和該第二鈍化層接觸。
  14. 如請求項13所述之半導體封裝裝置,其中該第一重分佈線穿透該第二蝕刻停止層,且該第二重分佈線穿透該第一蝕刻停止層。
  15. 如請求項13所述之半導體封裝裝置,其中該第一蝕刻停止層和該第二蝕刻停止層是由相同的材料形成。
  16. 一種半導體封裝裝置,包括: 一導電特徵; 一第一蝕刻停止層,位在該導電特徵上方且與該導電特徵接觸; 一第一鈍化層,位在該第一蝕刻停止層上方,其中該第一鈍化層具有一第一孔隙率值; 一電容器,位在該第一鈍化層上方; 一第二蝕刻停止層,位在該電容器上方; 一第二鈍化層,位在該第二蝕刻停止層上方,其中該第二鈍化層具有小於該第一孔隙率值的一第二孔隙率值; 一第一重分佈線,穿透該第二鈍化層和該第二蝕刻停止層以電性連接至該電容器;以及 一第二重分佈線,穿透該第二鈍化層、該第一鈍化層和該第一蝕刻停止層,以電性連接至該導電特徵。
  17. 如請求項16所述之半導體封裝裝置,其中該第一重分佈線與該第二蝕刻停止層接觸,且該第一重分佈線與該第一蝕刻停止層垂直地分隔開。
  18. 如請求項16所述之半導體封裝裝置,其中該第二重分佈線與該第一蝕刻停止層接觸,且該第二重分佈線與該第二蝕刻停止層橫向地分隔開。
  19. 如請求項16所述之半導體封裝裝置,其中該第一鈍化層和該第二鈍化層是由相同的介電材料形成,且該第一鈍化層的介電常數低於該第二鈍化層的介電常數。
  20. 如請求項16所述之半導體封裝裝置,其中該第二蝕刻停止層具有一底面,且該底面與該電容器的一電容器電極的一頂面形成一界面。
TW110117233A 2020-05-27 2021-05-13 半導體封裝裝置及其製造方法 TWI780704B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063030597P 2020-05-27 2020-05-27
US63/030,597 2020-05-27
US17/197,483 US11935826B2 (en) 2020-05-27 2021-03-10 Capacitor between two passivation layers with different etching rates
US17/197,483 2021-03-10

Publications (2)

Publication Number Publication Date
TW202201574A true TW202201574A (zh) 2022-01-01
TWI780704B TWI780704B (zh) 2022-10-11

Family

ID=77527884

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110117233A TWI780704B (zh) 2020-05-27 2021-05-13 半導體封裝裝置及其製造方法

Country Status (3)

Country Link
US (1) US20230378052A1 (zh)
CN (1) CN113363206A (zh)
TW (1) TWI780704B (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8552486B2 (en) * 2011-01-17 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming metal-insulator-metal capacitors over a top metal layer
EP3198637B1 (en) * 2014-09-26 2019-06-26 Intel Corporation Integrated circuit die having backside passive components and methods associated therewith
US9793339B2 (en) * 2015-01-08 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing copper contamination in metal-insulator-metal (MIM) capacitors
US10741488B2 (en) * 2017-09-29 2020-08-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with integrated capacitor and manufacturing method thereof
US10468478B2 (en) * 2017-10-26 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal (MIM) capacitor structure and method for forming the same
US11145564B2 (en) * 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method

Also Published As

Publication number Publication date
TWI780704B (zh) 2022-10-11
CN113363206A (zh) 2021-09-07
US20230378052A1 (en) 2023-11-23

Similar Documents

Publication Publication Date Title
CN107039380B (zh) 接合结构及其形成方法
US11784172B2 (en) Deep partition power delivery with deep trench capacitor
US11450567B2 (en) Package component with stepped passivation layer
US20230360992A1 (en) Passivation Structure with Planar Top Surfaces
US12009386B2 (en) Structure and method for forming integrated high density MIM capacitor
US11222859B2 (en) Semiconductor device structure with bonding pad and method for forming the same
US11387143B2 (en) Redistribution lines with protection layers and method forming same
US20210375672A1 (en) Redistribution Lines Having Nano Columns and Method Forming Same
US11935826B2 (en) Capacitor between two passivation layers with different etching rates
TWI780704B (zh) 半導體封裝裝置及其製造方法
US11961762B2 (en) Package component with stepped passivation layer
US12009256B2 (en) Redistribution lines with protection layers and method forming same
CN113363160B (zh) 半导体器件及其形成方法
US11955423B2 (en) Semiconductor device and method
CN114464545A (zh) 半导体器件和形成半导体器件的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent