TW202129885A - 半導體器件的製造方法 - Google Patents

半導體器件的製造方法 Download PDF

Info

Publication number
TW202129885A
TW202129885A TW109145596A TW109145596A TW202129885A TW 202129885 A TW202129885 A TW 202129885A TW 109145596 A TW109145596 A TW 109145596A TW 109145596 A TW109145596 A TW 109145596A TW 202129885 A TW202129885 A TW 202129885A
Authority
TW
Taiwan
Prior art keywords
layer
hole
conductive
interconnection device
forming
Prior art date
Application number
TW109145596A
Other languages
English (en)
Inventor
陳建勳
余振華
吳俊毅
吳凱強
梁裕民
王彥評
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129885A publication Critical patent/TW202129885A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

本發明實施例公開內連線器件、封裝半導體器件和方法,其涉及將局部矽內連線(LSI)器件和基底穿孔(TSV)嵌入到具有緊湊封裝結構的積體基底上系統(SoIS)技術中。局部矽內連線器件可運用基底穿孔整合嵌入到積體基底上系統技術中,從而為積體基底上系統器件中的基底技術的超大積體扇出(InFO)提供晶粒到晶粒精細線連接佈置。此外,可使用微影或光阻限定的通孔來形成基底穿孔連接層,以向球柵陣列封裝(BGA)連接介面提供嵌入式局部矽內連線電源和接地輸出。

Description

半導體器件的製造方法
本發明是有關於一種半導體器件的製造方法。
半導體行業已經由於各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的積集度的持續提高而經歷快速發展。在很大程度上,積集度的這種提高來自最小特徵大小的重複減小(例如,將半導體製程節點縮小到低於20奈米的節點),這允許更多的元件整合到給定區域中。
隨著近來對小型化、更高速度和更大頻寬以及更低功耗和時延(latency)的需求的增長,出現了對半導體晶粒和晶圓級系統整合(wafer level system integration,WLSI)的更小和更具創造性的封裝技術的需求。這種封裝系統的實例是積體基底上系統(system on integrated substrate,SoIS)技術。在SoIS器件中,將頂部半導體封裝堆疊在積體基底的頂部,其使用重佈線層以允許外部連接而達到高積集度以及在SoIS器件內達到高元件密度。SoIS技術通常能夠生產具有增強型功能性、較小覆蓋面積和元件可靠性的半導體器件。
本發明實施例涉及一種方法,其包括:在載體基底上方沉積第一介電層;在所述第一介電層上方沉積第一導電層;在所述第一導電層上方形成第一穿孔;將局部內連線器件附接到鄰近於所述第一穿孔的所述第一導電層;將所述局部內連線器件和所述第一穿孔包封於第一模塑化合物中;在所述局部內連線器件和所述第一模塑化合物上方形成第二介電層;在所述局部內連線器件的內連線穿孔上方形成第二穿孔;在所述第一穿孔上方形成第三穿孔;以及將所述第三穿孔和所述第二穿孔包封於第二模塑化合物中。
本發明實施例涉及一種方法,其包括:在基底上方形成第一金屬化層;將局部內連線器件接合到所述第一金屬化層;將所述局部內連線器件包封於第一模塑化合物中;在所述局部內連線器件和所述第一模塑化合物上方形成背側重佈線層,所述背側重佈線層電耦接到所述局部內連線器件的穿孔;在所述背側重佈線層上方形成第二導通孔;以及將所述第二導通孔和所述背側重佈線層包封於第二模塑化合物中。
本發明實施例提供一種半導體器件,其包括:第一重佈線層、第一模塑化合物、局部內連線器件、第二重佈線層、第二模塑化合物、第二穿孔、第一外部連接件以及第二外部連接件。第一模塑化合物位於所述第一重佈線層上方。局部內連線器件嵌入在所述第一模塑化合物中且電耦接到所述第一重佈線層。第二重佈線層位於所述局部內連線器件上方且電耦接到所述局部內連線器件的第一穿孔。第二模塑化合物位於所述第二重佈線層上方。第二穿孔嵌入在所述第二模塑化合物中且電耦接到所述第二重佈線層。第一外部連接件通過所述第一重佈線層電耦接到所述局部內連線器件。第二外部連接件通過所述第二穿孔電耦接到所述局部內連線器件。
以下公開內容提供用於實施本發明的不同特徵的許多不同實施例或實例。下文描述元件和佈置的具體實例是為了簡化本公開。當然,這些元件和佈置僅僅是實例且並不旨在作為限制。舉例來說,在以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包含第一特徵與第二特徵直接接觸地形成的實施例,且還可包含在第一特徵與第二特徵之間可形成額外特徵以使得第一特徵與第二特徵可以不直接接觸的實施例。此外,本公開可在各種實例中重複附圖標號和/或字母。這種重複是出於簡化和清楚的目的,且本身並不規定所論述的各種實施例和/或配置之間的關係。
另外,為易於描述,本文中可使用例如“在……之下(beneath)”、“在……下方(below)”、“下部(lower)”、“在……上方(above)”、“上部(upper)”和類似的空間相對術語來描述如圖中所示出的一個元件或特徵與另一(些)元件或特徵的關係。除圖中所描繪的定向以外,空間相對術語還旨在涵蓋器件在使用或操作中的不同定向。設備可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞同樣可相應地作出解釋。
在本公開中,描述封裝和其形成的各個方面。在一些實施例中,併入于封裝內的內連線器件可電連接兩個或多於兩個半導體晶粒。內連線器件可具有高佈線密度且定位成靠近半導體晶粒,這可以改良半導體晶粒之間的通訊頻寬。內連線器件提供了具有晶粒到晶粒連接(die-to-die connection)的高產率重佈線層結構,所述晶粒到晶粒連接針對已安裝器件具有精細節距(pitch)寬度。
圖1示出根據一些實施例的在形成第一內連線結構100的中間步驟中的形成第一重佈線結構120的初始步驟中,在第一載體基底101上方形成聚合物層105。特定來說,圖1示出第一載體基底101以及位於第一載體基底101上方的釋放層(release layer)103和聚合物層105。第一載體基底101包括例如矽基材料(例如玻璃或氧化矽)或其它材料(例如氧化鋁)、這些材料中的任何一些的組合或類似物。如下文所論述,第一載體基底101是平坦的,以適於半導體器件(例如,被動器件、主動器件或類似物)的附接。
釋放層103設置在第一載體基底101上,以有助於上覆結構(例如,聚合物層105)的粘合。在實施例中,釋放層103可包括光熱轉換(light to heat conversion,LTHC)塗層。然而,還可使用其它類型的粘合劑,例如在暴露于紫外線光時失去其粘合特性的紫外線膠、壓敏粘合劑、輻射固化粘合劑、環氧樹脂、這些的組合或類似物。釋放層103可以在壓力下容易變形的半液體或凝膠形式放置到第一載體基底101上。
聚合物層105放置在釋放層103上方,且一旦已附接半導體器件(例如,被動器件、主動器件或類似物)就利用所述聚合物層105來保護例如所附接的器件。在實施例中,聚合物層105可以是聚苯並惡唑(polybenzoxazole,PBO),但可利用任何合適的材料,例如聚醯亞胺或聚醯亞胺衍生物。可使用例如旋轉塗佈製程來放置聚合物層105,所述聚合物層105的厚度在約0.5微米與約10微米之間(例如約5微米),但還可使用任何合適方法和厚度。
一旦聚合物層105已形成,就在聚合物層105上方形成第一重佈線結構120的第一介電層107,且第一介電層107可以是例如聚苯並惡唑(PBO)的材料,但可利用任何合適的材料,例如聚醯亞胺或聚醯亞胺衍生物。可使用例如旋轉塗佈製程來放置第一介電層107,但可使用任何合適的方法。
在已形成第一介電層107之後,可通過移除第一介電層107的一些部分來形成穿過第一介電層107的開口109。可使用合適的微影罩幕和蝕刻製程來形成開口109,但可使用任何合適的製程來圖案化第一介電層107。
圖2示出根據一些實施例的第一重佈線結構120的第一導電層201的形成。特定來說,圖2示出在第一介電層107內所形成的開口109上方且穿過所述開口109形成第一導電層201。第一導電層201可通過以下步驟來形成:首先經由合適的形成製程(例如化學氣相沉積(chemical vapor deposition,CVD)或濺鍍)來沉積鈦銅合金的晶種層(未繪示)。隨後可形成光阻(也未繪示)以覆蓋第一晶種層,且隨後可圖案化光阻以暴露第一晶種層的位於第一導電層201的預期位置的那些部分。
一旦光阻已形成且圖案化,就可以經由例如鍍覆的沉積製程在第一晶種層上形成例如銅的導電材料。導電材料可形成為具有在約1微米與約10微米之間(例如約5微米)的厚度。然而,雖然所論述的材料和方法適合於形成導電材料,但這些材料僅為可在一些實施例中使用的實例。可使用任何其它合適的材料(例如AlCu或Au)和任何其它合適的形成製程(例如CVD或物理氣相沉積(physical vapor deposition,PVD))來形成第一導電層201的第一導電層。一旦導電材料已形成,就可以經由合適的移除製程(例如灰化)來移除光阻。此外,在移除光阻之後,可經由例如將第一導電層201用作罩幕的合適蝕刻製程來移除第一晶種層的先前被光阻覆蓋的那些部分。第一介電層107和第一導電層201可在本文中統稱為第一重佈線結構120的金屬化層。
一旦第一導電層201已形成,就可以通過重複與用於形成第一介電層107和第一導電層201的那些步驟類似的步驟來形成第一重佈線結構120的彼此上覆的其它可選金屬化層。可按需要重複這些步驟,以便將每一上覆金屬化層電連接到第一重佈線結構120的下伏金屬化層,且可按需要的次數重複這些步驟,直到已形成第一重佈線結構120的最上層金屬化層為止。在一些實施例中,第一介電層107和第一導電層201充當第一重佈線結構120的最上層金屬化層。在另一實施例中,第一重佈線結構120可包括兩個金屬化層,但可利用任何合適的數目的單獨金屬化層。此外,第一重佈線結構120也可在本文中稱為第一內連線結構100的背側金屬化層。
圖3示出根據一些實施例的在形成局部內連線層520的初始步驟中在第一重佈線結構120上方形成第一模塑穿孔(through molding via)301。一旦第一重佈線結構120已形成在第一載體基底101上方,就形成第一模塑穿孔301,以與第一重佈線結構120電連接。在實施例中,可通過以下方式來形成第一模塑穿孔301:首先在第一重佈線結構120的最上層金屬化層上方形成第二晶種層(圖3中未分別示出)。在實施例中,第二晶種層是導電材料的薄層,所述薄層輔助在後續處理步驟期間形成更厚的層。第二晶種層可包括約1,000埃厚的鈦層,接著是約5,000埃厚的銅層。可根據期望材料,使用例如濺鍍、蒸鍍或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)製程等製程來產生第二晶種層。第二晶種層可形成為具有在約0.3微米與約1微米之間(例如約0.5微米)的厚度。
一旦第二晶種層已形成,就將光阻(也未示出)放置在第二晶種層上方。在實施例中,可使用例如旋轉塗佈技術將光阻放置在第二晶種層上,所述光阻的高度在約50微米與約250微米之間,例如約120微米。一旦光阻就位,隨後就可以通過將光阻曝光於圖案化能量源(例如,圖案化光源)來圖案化光阻,以引起化學反應,從而在曝光于圖案化光源的光阻的那些部分中引起物理變化。隨後將顯影劑施加到被曝光的光阻,以利用物理變化並且根據期望圖案選擇性地移除光阻的曝光部分或光阻的未曝光部分。在實施例中,形成在光阻中的圖案是可用于形成第一模塑穿孔301的圖案,如下文更詳細地論述,所述模塑穿孔被放置成位於隨後附接的局部內連線器件401的不同側。然而,可利用第一模塑穿孔301的圖案的任何合適的佈置。
在實施例中,第一模塑穿孔301由一種或多種導電材料(例如銅、鎢、其它導電金屬或類似物)形成在光阻內,且可例如通過電鍍、無電鍍覆或類似方法形成。舉例來說,使用電鍍製程,其中將第二晶種層和光阻浸沒或浸入電鍍液中。第二晶種層表面電連接到外部DC電源供應器的負極側,使得第二晶種層在電鍍製程中充當陰極。固體導電陽極(例如銅陽極)也浸入溶液中,且附接到電源供應器的正極側。來自陽極的原子溶解於溶液中,陰極(例如第二晶種層)從所述溶液中獲取溶解的原子,從而在光阻的開口內鍍覆第二晶種層的所暴露的導電區域。
一旦已使用光阻和第二晶種層來形成第一模塑穿孔301,就可以使用合適的移除製程來移除光阻。在實施例中,電漿灰化製程可用於移除光阻,由此光阻的溫度一直升高,直到光阻經歷熱分解且可被移除。然而,可利用任何其它合適的製程,例如濕法剝離。對光阻的移除可暴露第二晶種層的下伏部分。
一旦暴露,就可以執行對第二晶種層的暴露部分的移除製程。在實施例中,可通過例如濕法蝕刻或乾法蝕刻製程來移除第二晶種層的暴露部分(例如,未被第一模塑穿孔301覆蓋的那些部分)。舉例來說,在乾式蝕刻製程中,可將第一模塑穿孔301用作罩幕來朝向第二晶種層引導反應物。在另一實施例中,可噴塗蝕刻劑或以其它方式將蝕刻劑與第二晶種層接觸,以便移除第二晶種層的暴露部分。在已蝕刻掉第二晶種層的暴露部分之後,第一重佈線結構120的一部分暴露在第一模塑穿孔301之間。根據一些實施例,第一模塑穿孔301可形成為具有在約10微米與約100微米之間(例如約50微米)的第一寬度W1。然而,可使用任何合適的寬度。
轉向圖4,根據一些實施例,局部內連線器件(local interconnect device)401附接到第一重佈線結構120。在一些實施例中,局部內連線器件401包括可用於與局部內連線器件401形成電連接的導電連接件403。圖4中所繪示的局部內連線器件401具有形成在局部內連線器件401的單側的導電連接件403,但在一些實施例中,局部內連線器件401可具有形成在兩側的導電連接件403。在一些實施例中,在附接之前,在每一導電連接件403上形成焊料材料405。圖4繪示附接到第一載體基底101的局部內連線器件401,但在其它實施例中,可附接兩個或多於兩個局部內連線器件401。根據一些實施例,可附接與局部內連線器件401類似的多個器件和/或多於一種的不同類型的局部內連線器件401。在一些實施例中,除了局部內連線器件401之外,其它類型的器件(例如下文所描述的電子器件410)也可附接到第一載體基底101。
在一些實施例中,導電連接件403包括金屬接墊或金屬柱(例如銅柱)。導電連接件403可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,金屬柱可以是無焊料的和/或具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂部形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、類似物或其組合,且可通過鍍覆製程來形成。在一些實施例中,導電連接件403的節距可在約20微米與約80微米之間,且導電連接件403的高度可在約2微米與約30微米之間。
在一些實施例中,形成在導電連接件403上的焊料材料405可以是球柵陣列封裝(ball grid array,BGA)連接件、焊料球、受控塌陷晶粒連接(controlled collapse chip connection,C4)凸塊、微凸塊(例如µbump)、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊或類似物。焊料材料405可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合。在一些實施例中,焊料材料405通過首先經由蒸鍍、電鍍、印刷、焊料轉移、植球或類似方法形成焊料層來形成。一旦已在導電連接件403上形成焊料層,就可以執行回焊以便使材料成形為期望形狀。
可以(例如)使用例如拾放(pick-and-place)製程將局部內連線器件401放置在第一重佈線結構120上。在一些實施例中,一旦局部內連線器件401的焊料材料405與第一導電層201物理接觸,就可以執行回焊製程以將焊料材料405接合到第一導電層201,且由此將局部內連線器件401附接到第一載體基底101。
在一些實施例中,局部內連線器件401包括形成在基底411上方的電佈線407的一個或多個層(例如,重佈線層(redistribution layer,RDL)、金屬化圖案、金屬線和內連線器件穿孔409或類似物)。在一些實施例中,包括電佈線407的局部內連線器件401用於在封裝中的其它器件(例如半導體器件、晶粒、晶圓或類似物)之間形成內連線或額外佈線。在一些實施例中,局部內連線器件401包括一個或多個主動器件(例如,電晶體、二極體或類似物)和/或一個或多個被動器件(例如,電容器、電阻器、電感器或類似物)。在一些實施例中,局部內連線器件401包含電佈線407且實質上不含主動器件或被動器件。在一些實施例中,局部內連線器件401可具有在約10微米與約100微米之間的厚度(不包含導電連接件403或焊料材料405的厚度)。在一些實施例中,局部內連線器件401可具有在約2毫米×2毫米與約80毫米×80毫米之間(例如約2毫米×3毫米或50毫米×80毫米)的側向尺寸。根據一些實施例,內連線器件穿孔409可具有在約10微米與約50微米之間(例如約15微米)的第二寬度W2。然而,可利用任何合適的寬度。
可使用適用的製造製程來形成局部內連線器件401。基底411可以是例如半導體基底(例如矽),所述半導體基底可以是摻雜的或未經摻雜的且可以是矽晶圓或絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層或類似物。半導體基底可包含:其它半導體材料,例如鍺;化合物半導體,其包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。也可以使用其它基底,例如多層基底或梯度基底。
電佈線407可由介電材料(例如低k介電材料)與導電材料(例如銅)的交替層以及將導電材料層內連的通孔形成,且可經由任何合適的製程(例如沉積、鑲嵌、雙鑲嵌或類似製程)形成。舉例來說,在一些實施例中,利用鑲嵌製程,其中利用微影技術圖案化和蝕刻相應介電層,以形成對應於金屬化層和/或通孔的期望圖案的溝槽。可以沉積可選擴散阻擋層和/或可選粘合層,且溝槽可填充導電材料。阻擋層的合適材料包含鈦、氮化鈦、鉭、氮化鉭或其它替代物,且導電材料的合適材料包含銅、銀、金、鎢、鋁、其組合或類似物。在實施例中,可通過沉積銅或銅合金的晶種層且利用電鍍銅或銅合金以填充溝槽來形成金屬化層。化學機械平坦化(chemical mechanical planarization,CMP)可用於從相應介電層的表面移除多餘導電材料且用於平坦化表面以供進行後續處理。
在一些實施例中,局部內連線器件401的電佈線407可包括具有小於約0.6微米的節距的精細節距RDL。可例如使用上文所描述的單鑲嵌和/或雙鑲嵌製程來形成精細節距RDL。通過形成具有精細節距的電佈線407,可增加局部內連線器件401中的電佈線407的密度,由此改良局部內連線器件401的佈線能力。根據一些實施例,局部內連線器件401可形成有內連線器件穿孔409。根據一些實施例,可通過首先在局部內連線器件401的基底411中形成開口來形成內連線器件穿孔409。可使用一個或多個蝕刻製程移除基底411的材料來形成開口。一旦開口已形成,就可以沉積導電填充材料,以填充和/或過度填充基底411中的開口。導電填充材料可以是例如用於形成如上文所論述的電佈線407、第一模塑穿孔301、第一導電層201的導電材料或可以是任何其它合適的導電材料。一旦已沉積,就可以執行化學機械平坦化(CMP)製程或一系列蝕刻,以平坦化內連線器件穿孔409與基底411的表面,且隨後可形成電佈線407。
一旦局部內連線器件401已附接,就將第一底填充料413沉積在局部內連線器件401與第一介電層107之間的間隙中。第一底填充料413可以是例如模塑化合物、環氧樹脂、底填充料、模塑底填充料(molding underfill,MUF)、樹脂或類似物的材料。第一底填充料413可保護導電連接件403並且為局部內連線器件401提供結構支撐。在一些實施例中,第一底填充料413可在塗覆之後固化。
轉向圖5,根據一些實施例,使用第一包封體501包封局部內連線器件401和第一模塑穿孔301。可在模塑器件中執行包封(例如,CMC模塑),所述模塑器件可包括頂部模塑部分和可與頂部模塑部分分離的底部模塑部分。當頂部模塑部分降低到鄰近於底部模塑部分時,可針對第一載體基底101、局部內連線器件401和第一模塑穿孔301形成模腔(molding cavity)。
在包封製程期間,頂部模塑部分可放置成鄰近於底部模塑部分,從而將第一載體基底101、局部內連線器件401和第一模塑穿孔301封閉在模腔內。一旦封閉,頂部模塑部分和底部模塑部分就可以形成氣密密封(airtight seal),以便控制氣體從模腔流入和流出。一旦密封,就可以將第一包封體501放置在模腔內。第一包封體501可以是液態第一模塑化合物樹脂,例如聚醯亞胺、PPS、PEEK、PES、環氧模塑化合物(epoxy molding compound,EMC)、耐熱性晶體樹脂、這些的組合或類似物。第一包封體501可在將頂部模塑部分與底部模塑部分對準之前放置在模腔內或者可經由注入端口注入到模腔中。
一旦已將第一包封體501放置到模腔中,就使得第一包封體501包封第一載體基底101、局部內連線器件401和第一模塑穿孔301;可使第一包封體501固化以使第一包封體501硬化以用於進行保護。雖然精確的固化製程至少部分地取決於為第一包封體501選擇的特定材料,但在選擇模塑化合物作為第一包封體501的實施例中,固化可經由例如在約100℃與約130℃之間(例如約125℃)的溫度下將第一包封體501加熱約60秒到約3600秒(例如約600秒)的製程來進行。此外,起始劑和/或催化劑可包含在第一包封體501內,從而更好地控制固化製程。
然而,本領域的普通技術人員將認識到,上文所描述的固化製程僅僅是示範性製程且並不意欲限制當前實施例。還可使用其它固化製程,例如照射或甚至允許第一包封體501在環境溫度下變硬。可使用任何合適的固化製程,且所有這類製程全部旨在包含在本文中所論述的實施例的範圍內。
圖5進一步示出根據一些實施例的對第一包封體501執行的平坦化製程。可例如使用機械研磨製程、化學機械拋光(chemical mechanical polishing,CMP)製程、一系列蝕刻和其它移除製程或類似製程來執行平坦化製程。平坦化製程移除第一包封體501的多餘部分,並暴露出局部內連線器件401的內連線器件穿孔409和第一模塑穿孔301。在一些實施例中,平坦化製程可包括薄化技術(例如,研磨製程),所述薄化技術用於薄化第一包封體501且將局部內連線器件401的背側降低到期望高度和/或用於暴露內連線器件穿孔409。在平坦化製程之後,第一模塑穿孔301、局部內連線器件401和/或內連線器件穿孔409可具有與第一包封體501的表面齊平的表面。
轉向圖6,此圖示出根據實施例的在形成第一背側局部內連線結構720的初始步驟中形成第二介電層607。一旦局部內連線層520已形成,就在第一包封體501、局部內連線器件401、第一模塑穿孔301和/或內連線器件穿孔409上方形成第二介電層607。可使用上述適合於形成第一重佈線結構120的第一介電層107的任何材料(例如,(PBO))以及任何沉積製程(例如,旋轉塗佈製程)來形成第二介電層607。在已形成第二介電層607之後,可形成穿過第二介電層607的第二開口609。可使用上述適合於在第一介電層107中形成開口109的任意微影罩幕和蝕刻製程來形成第二開口609。
轉向圖7,此圖示出根據一些實施例的形成第一背側局部內連線結構720的第二導電層701。一旦已圖案化形成第二開口609,就在第二介電層607上方及第二開口609中形成第二導電層701。第二導電層701可通過以下方式來形成:首先可通過使用上述適合於形成在第一導電層201中的第一晶種層(未繪示)的任意材料(例如,鈦銅合金)和任意製程(例如,化學氣相沉積(CVD))來形成沉積第三晶種層(未繪示)。形成光阻(也未繪示)以覆蓋第三晶種層,且隨後圖案化所述光阻以暴露第三晶種層的位於第二導電層701期望位置的那些部分。可使用適合於在第一晶種層上方形成光阻的任意材料及隨後圖案化所述光阻的任意製程來在第三晶種層上方形成及圖案化光阻。
一旦第三晶種層的部分在期望第二導電層701所處的位置中被光阻暴露,就在第三晶種層上方沉積導電材料。導電材料可包括上述適用於第一導電層201的任意材料(例如,銅),且可使用適合於形成第一導電層201的任意製程(例如,鍍覆)來沉積。根據一些實施例,第二導電層701可形成為適用於第一導電層201的任何厚度(例如,5微米)。因而,第二導電層701的導電材料包括單一晶種層且具有從第二介電層607內的點到第二介電層607上方的點的連續材料。一旦第二導電層107已形成,就經由例如灰化的製程移除光阻。此外,在移除光阻之後,可使用例如蝕刻製程且將第二導電層701用作罩幕來移除第三晶種層的先前被光阻覆蓋的那些部分。第二介電層607和第二導電層701可在本文中統稱為第一背側局部內連線結構720。
通過形成第二導電層701作為通向第一模塑穿孔301的連接層,可減少來自局部內連線器件401的矽電流洩漏。此外,通過形成第二導電層701以及其相關聯的第二介電層607,可避免在後續模塑製程(例如透明模塑化合物(clear molding compound,CMC)模塑製程)期間可能出現的凹陷問題(pitting issue),同時仍能夠在局部內連線器件401與隨後形成的外部連接件(例如球柵陣列封裝)之間提供電源和接地的佈線。
一旦第一背側局部內連線結構720已形成,就可以通過重複與用於形成第二介電層607和第二導電層701的那些步驟類似的步驟在第一背側局部內連線結構720上方形成在金屬化層的堆疊中彼此上覆的其它金屬化層。可按需要重複這些步驟,以便將每一上覆金屬化層電連接到下伏金屬化層,且可按需要的頻度重複,直到最上層金屬化層已形成為止。在一些實施例中,第二介電層607和第二導電層701充當第一背側局部內連線結構720的最上層金屬化層。在實施例中,第一背側局部內連線結構720可包括兩個金屬化層,但可利用任何合適數目的單獨金屬化層。
圖8示出根據一些實施例的在第一背側局部內連線結構720上方形成第二重佈線層920的初始步驟中形成第二模塑穿孔806。可使用晶種層、光阻、微影製程和鍍覆製程以與上文所描述的用於形成第一模塑穿孔301的製程類似的製程在下伏金屬化層的第二導電層701上方形成第二模塑穿孔806。根據一些實施例,第二模塑穿孔806可在第一模塑穿孔301上方形成為具有第三寬度W3,第三寬度在約10微米與約80微米之間,例如約30微米。在一些實施例中,第二模塑穿孔806的第三寬度W3與第一模塑穿孔301的第一寬度W1的比率可在約1:1與約0.6:1之間,例如約0.8:1。然而,可使用任何合適的寬度和任何合適的比率。
根據一些實施例,第二模塑穿孔806可在內連線器件穿孔409上方形成為具有第四寬度W4,第四寬度W4在約10微米與約50微米之間,例如約15微米。在一些實施例中,第二模塑穿孔806的第四寬度W4與內連線器件穿孔409的第二寬度W2的比率可以是約1:1。然而,可使用任何合適的寬度和任何合適的比率。
圖9示出根據一些實施例的第二模塑穿孔806的包封和第一內連線結構100的第一外部連接件923的形成。一旦第二模塑穿孔806已形成,隨後就可在第二模塑穿孔806上方形成第二包封體908。根據一些實施例,可使用介電層壓製程包封第二模塑穿孔806來形成第二包封體908,但可利用放置第二包封體908的任何合適方法,例如轉移模塑或類似方法。在一些實施例中,可通過以下方式來執行層壓製程:首先將介電材料的層壓片放置在第二模塑穿孔806和第一背側局部內連線結構720的暴露表面上方。根據實施例,層壓片可以是例如模塑化合物的介電材料。然而,也可使用其它合適的材料,可用於層壓片的其它合適的材料包含(但不限於)味之素堆積膜(Ajinomoto build up film,ABF)、內部具有填充物(filler)或纖維的預浸漬(預浸體)材料、環氧樹脂、酚酯、氰酸酯、苯酚、填充物、玻璃纖維、這些的組合或類似物。然而,還可使用任何合適的材料。一旦放置,介電層壓片就經受用於將第二包封體908層壓到第一背側局部內連線結構720和第二模塑穿孔806的暴露表面的製程條件(例如,溫度、壓力、時間)。一旦層壓,就可執行薄化製程,以平坦化第二包封體908和第二模塑穿孔806的表面。根據一些實施例,第二重佈線層920可被形成為具有在約1微米與約50微米之間(例如約20微米)的厚度,但可使用任何合適的厚度。此外,第二模塑穿孔806和第二包封體908可在本文中統稱為第二重佈線結構930的金屬化層。
此外,可在第二重佈線層920上方形成其它金屬化層,直到第二重佈線結構930的最上層金屬化層已形成為止。其它金屬化層可通過以下步驟來形成:重複步驟以形成第二介電層607和第二導電層701作為另一金屬化層和/或重複步驟以形成第二模塑穿孔806和第二包封體908作為另一金屬化層。因而,提供了形成具有合適的數目及配置的金屬化層的第二重佈線結構930的第一背側局部內連線結構720、第二重佈線層920和任何其它金屬化層。
一旦第二重佈線結構930已形成,就可在第二重佈線結構930上方形成第三鈍化層921,以便為第二重佈線結構930和其它下伏結構提供保護和隔離。第三鈍化層921可以是聚苯並惡唑(PBO),但可利用任何合適的材料,例如聚醯亞胺或聚醯亞胺衍生物。可使用例如旋轉塗佈製程將第三鈍化層921形成為具有約5微米至約25微米(例如約7微米)的厚度,但還可使用任何合適的方法和厚度。
圖9進一步示出形成第一外部連接件923以與第二重佈線結構930電接觸。在實施例中,在已形成第三鈍化層921之後,可形成穿過第三鈍化層921的多個開口,以在用於形成第一外部連接件923的期望位置處暴露出第二重佈線結構930中的最上層金屬化層。可使用合適的微影罩幕和蝕刻製程來形成開口,但可使用任何合適的製程來暴露第二重佈線結構930中的最上層金屬化層。
在實施例中,第一外部連接件923可穿過第三鈍化層921放置在最上層金屬化層上,且可共同地形成包括例如焊料等共晶材料的球柵陣列封裝(BGA),但還可使用任何合適的材料。在一些實施例中,第一外部連接件923包括:第一凸塊下金屬925(under-bump metallization,UBM),其形成為穿過第二重佈線結構930上方的開口中的第三鈍化層921;以及第一焊料凸塊927,其形成在第一凸塊下金屬925上方。可經由一個或多個沉積和圖案化製程形成第一凸塊下金屬925。在一些實施例中,第一凸塊下金屬化925可通過以下步驟來形成:例如首先在第三鈍化層921上方形成晶種層,且隨後圖案化所述晶種層以保留用於形成第一外部連接件923的區域中的晶種層。晶種層可使用上文所論述的用於形成晶種層的材料中的任何一種和製程中的任何一個來沉積和圖案化。一旦晶種層已形成,就可在圖案化晶種層上方形成第一凸塊下金屬925。在一些實施例中,第一凸塊下金屬925包含三個導電材料層,例如鈦層、銅層和鎳層。可利用材料和層的其它佈置(例如鉻/鉻銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置或銅/鎳/金的佈置)來形成第一凸塊下金屬925。可用於第一凸塊下金屬925的任何合適的材料或材料層全部旨在包含在當前申請的範圍內。可使用一個或多個鍍覆製程(例如電鍍或無電鍍覆製程)來形成第一凸塊下金屬925的導電材料(例如,層),但可交替地使用其它形成製程,例如濺鍍、蒸鍍或PECVD製程。一旦第一凸塊下金屬925的導電材料已形成,就可以例如通過灰化來移除光阻層的部分。一旦光阻已移除,就可以通過將第一凸塊下金屬化925用作罩幕的蝕刻製程來移除晶種層的暴露部分。
在第一外部連接件923是第一焊料凸塊927的實施例中,第一外部連接件923可使用落球方法(例如直接落球製程(direct ball drop process))由焊料形成。第一焊料凸塊927還可通過以下步驟來形成:首先經由任何合適的方法(例如蒸鍍、電鍍、印刷或焊料轉移)形成錫層,且隨後執行回焊以便將材料成形為期望的凸塊形狀。
圖10示出根據一些實施例的將中介物結構1000附接到第一內連線結構100。中介物結構1000向第一內連線結構100提供額外佈線和穩定性。舉例來說,中介物結構1000可減少第一內連線結構100的翹曲,尤其是對於具有較大面積(例如,大於約90平方毫米)的內連線結構。
根據一些實施例,中介物結構1000可以是例如中介物連接器件或“半成品基底”且可不含主動器件。在一些實施例中,中介物結構1000包括核心(core)基底1001、第一佈線結構1003和第二佈線結構1005,第一佈線結構1003和第二佈線結構1005位於核心基底1001的相對兩側。核心基底1001可包含以下材料:例如,味之素堆積膜(ABF)、預浸漬複合物纖維(預浸體)材料、環氧樹脂、模塑化合物、環氧模塑化合物、玻璃纖維增強樹脂材料、印刷電路板(printed circuit board,PCB)材料、二氧化矽填充物、聚合物材料、聚醯亞胺材料、紙、玻璃纖維、無紡玻璃織物(non-woven glass fabric)、玻璃、陶瓷、其它層壓板、類似物或其組合。在一些實施例中,核心基底1001可以是雙側覆銅箔層壓板(copper-clad laminate,CCL)基底或類似物。根據一些實施例,核心基底1001可具有約30微米至約2000微米(例如約500微米或約1200微米)的厚度。
中介物結構1000可更包括延伸穿過核心基底1001的基底穿孔1007(through-substrate vias,TSV)。第一佈線結構1003、第二佈線結構1005和基底穿孔1007提供額外電佈線和內連線。第一佈線結構1003和第二佈線結構1005可包含一個或多個佈線層1009和一個或多個介電層1011。在一些實施例中,佈線層1009和基底穿孔1007包括銅、鎳、鋁、其它導電材料、其組合和類似物的一個或多個層。儘管將中介物結構1000示出為具有兩個佈線結構(其中佈線結構中的每一個在核心基底1001的每一側包括三個介電層1011和四個佈線層1009),但根據實施例,中介物結構1000可具有任何合適數目的介電層1011和佈線層1009。此外,中介物結構1000可具有形成在核心基底1001的任一側的更多或更少的介電層1011和佈線層1009。
在一些實施例中,核心基底1001中的用於基底穿孔1007的開口可填充有填充物材料1013。填充物材料1013可為基底穿孔1007的導電材料提供結構支撐和保護。在一些實施例中,填充物材料1013可以是例如模塑材料、環氧樹脂、環氧模塑化合物、樹脂等材料;以及包含單體或低聚物的材料,例如丙烯酸聚氨酯、橡膠改性的丙烯酸環氧樹脂或多功能單體、其組合或類似物。在一些實施例中,填充物材料1013可包含顏料或染料(例如,用於顏色),或包含改變流變性(rheology)、改良粘合性或影響填充物材料1013的其它特性的其它填充物和添加劑。在一些實施例中,基底穿孔1007的導電材料可完全填充基底穿孔1007,從而省去了填充物材料1013。
在一些實施例中,中介物結構1000可包含形成在中介物結構1000的一側或多側的鈍化層1015。鈍化層1015可以是例如氮化物、氧化物、聚醯亞胺、低溫聚醯亞胺、阻焊劑、其組合或類似物的材料。一旦形成,就可以(例如,使用合適的微影和蝕刻製程)圖案化鈍化層1015以暴露第一佈線結構1003和第二佈線結構1005的佈線層1009的一些部分。
圖10進一步示出根據一些實施例的將中介物結構1000放置成與第一內連線結構100電連接。可使用例如拾放製程將中介物結構1000放置成與第一內連線結構100的第一外部連接件923物理接觸。中介物結構1000可放置成使得佈線結構(例如,第一佈線結構1003)的最頂部佈線層的暴露區與第一內連線結構100的第一外部連接件923中的對應第一外部連接件對準。一旦形成物理接觸,就可以利用回焊製程將第一內連線結構100的第一外部連接件923接合到中介物結構1000。在一些實施例中,代替在第一內連線結構100上形成的第一外部連接件923或除了在第一內連線結構100上形成的第一外部連接件923之外,在中介物結構1000上形成外部連接件。在一些實施例中,第一外部連接件923並未形成在第一內連線結構100上,且使用直接接合技術(例如熱壓縮接合技術)將中介物結構1000接合到第一內連線結構100。
圖10進一步示出沿著中介物結構1000的側壁以及在中介物結構1000與第一內連線結構100之間的間隙中沉積第二底填充料1017。第二底填充料1017可以是例如模塑化合物、環氧樹脂、底填充料、模塑底填充料(MUF)、樹脂或類似物的材料。第二底填充料1017可保護第一外部連接件923並為第一內連線結構100提供結構支撐。根據一些實施例,第二底填充料1017也可沿著第一內連線結構100的側壁形成。在一些實施例中,第二底填充料1017可在沉積之後固化。
轉向圖11,將第一載體基底101剝離,以分離(或“剝離”)第一載體基底101。根據一些實施例,隨後將結構翻轉,並將中介物結構1000的與第一內連線結構100相對的一側附接到第二載體基底1101。在一些實施例中,所述剝離包含在第一載體基底101上的釋放層103上投射光(例如鐳射或UV光)以使得釋放層103在光的熱量下分解,且可移除第一載體基底101。第二載體基底1101可以是與上文針對第一載體基底101所描述的那些載體基底類似的載體基底。舉例來說,第二載體基底1101可以是晶圓或面板。可在第二載體基底1101上形成另一釋放層(未繪示),以便將結構附接到第二載體基底1101。在附接之後,可對第一內連線結構100執行可選平坦化製程(例如,研磨製程或CMP製程),以移除聚合物層105且暴露第一導電層201的接觸區域1103。
轉向圖12,此圖示出在圖11中所繪示的接觸區域1103上方形成第二外部連接件1201。根據一些實施例,第二外部連接件1201包括形成在第一導電層201上且電連接到所述第一導電層201的第二凸塊下金屬1203(UBM)和第二焊料凸塊1205。
在一些實施例中,第二凸塊下金屬1203可通過例如以下步驟來形成:首先在第一介電層107上方和在接觸區域1103上形成晶種層,且隨後圖案化所述晶種層以使晶種層保留在用於形成第二外部連接件1201的區域中。可使用上文所論述的用於形成晶種層的材料中的任何一種和製程中的任何一個來沉積和圖案化晶種層。一旦晶種層已形成,就可在圖案化晶種層上方形成第二凸塊下金屬1203。在一些實施例中,第二凸塊下金屬1203包含三個導電材料層,例如鈦層、銅層和鎳層。也可使用材料和層的其它佈置,例如鉻/鉻銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置或銅/鎳/金的佈置,以用於形成第二凸塊下金屬1203。可用於第二凸塊下金屬1203的任何合適的材料或材料層全部旨在包含在當前申請的範圍內。可使用一個或多個鍍覆製程(例如電鍍或無電鍍覆製程)來形成第二凸塊下金屬1203的導電材料(例如,層),但可交替地使用其它形成製程,例如濺鍍、蒸鍍或PECVD製程。一旦第二凸塊下金屬1203的導電材料已形成,就可以例如通過灰化來移除光阻層的部分。一旦光阻已移除,就可通過將第二凸塊下金屬1203用作罩幕的蝕刻製程來移除晶種層的暴露部分。
仍參考圖12,在第二凸塊下金屬1203上方形成第二焊料凸塊1205。在一些實施例中,第二焊料凸塊1205可以是受控塌陷晶粒連接(C4)凸塊、微凸塊(例如,µbump)、球柵陣列封裝(BGA)連接件、焊料球、無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或類似物。第二焊料凸塊1205可包含例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物或其組合的導電材料。在一些實施例中,第二焊料凸塊1205通過以下方式來形成:首先經由蒸鍍、電鍍、印刷、焊料轉移、植球或類似方法形成焊料層。一旦焊料層已形成在第二凸塊下金屬1203上,就可執行回焊以便將材料成形為期望形狀。
根據一些實施例,第二外部連接件1201被形成為具有受控塌陷晶粒連接(C4)凸塊和微凸塊兩者。在此實施例中,受控塌陷晶粒連接(C4)凸塊可形成為具有在約60微米與約180微米之間(例如約90微米)的第一節距P1,且微凸塊可形成為具有在約15微米與約50微米之間(例如約32微米)的第二節距P2。因而,第一系統內連線封裝1200可形成為具有帶有精細節距(pitch)的外部連接件。
還可包含其它特徵和製程。舉例來說,可包含測試結構以輔助對3D封裝或3DIC器件進行驗證測試。測試結構可包含例如形成在重佈線層中或基底上的測試襯墊,所述測試結構允許測試3D封裝或3DIC、使用探針和/或探針卡,和類似操作。可對中間結構以及最終結構執行驗證測試。另外,本文中所公開的結構和方法可與併入已知良好晶粒的中間驗證的測試方法結合使用以提高成品率並降低成本。
轉向圖13和14,這些圖示出根據另一實施例的形成第二內連線結構1540的中間步驟。第二內連線結構1540與第一內連線結構100類似;然而,第二內連線結構1540包括與局部內連線層520鄰近的第二背側局部內連線結構1420,而不是第一背側局部內連線結構720。圖13和圖14分別與圖6和圖7類似。然而,圖13和圖14涉及在圖5的局部內連線層520上方形成第二背側局部內連線結構1420,而圖6和圖7涉及在圖5的局部內連線層520上方形成第一背側局部內連線結構720。
特定來說,圖13示出在形成第二背側局部內連線結構1420的初始步驟中,在局部內連線層520的第一模塑穿孔301和內連線器件穿孔409上方形成導通孔插塞1301。導通孔插塞1301可使用晶種層、光阻、微影製程和鍍覆製程以與上文所描述的用於形成第一模塑穿孔301和/或第二模塑穿孔806的製程類似的製程形成在第一模塑穿孔301上方。根據一些實施例,導通孔插塞1301可在第一模塑穿孔301上方形成為具有第五寬度W5,第五寬度在約5微米與約70微米之間,例如約25微米。在一些實施例中,導通孔插塞1301的第五寬度W5與第一模塑穿孔301的第一寬度W1的比率可以在約0.5:1與約0.875:1之間,例如約0.833:1。根據一些實施例,導通孔插塞1301可在內連線器件穿孔409上方形成為具有第六寬度W6,第六寬度W6在約5微米與約40微米之間,例如約10微米。在一些實施例中,導通孔插塞1301的第六寬度W6與內連線器件穿孔409的第二寬度W2的比率可以在約0.5:1與約0.8:1之間,例如約0.67:1。然而,可使用任何合適的寬度和任何合適的比率。
圖14示出根據一些實施例的在導通孔插塞1301和局部內連線層520的暴露表面上方形成第三包封體1401。可使用與上文所描述的用於形成第二包封體908的製程類似的製程中的介電層壓片、層壓製程、製程條件(例如,溫度、壓力、時間)和薄化製程或任何其它合適的製程來形成第三包封體1401。根據一些實施例,第三包封體1401可被形成為具有在約1微米與約50微米之間(例如約5微米)的厚度,但可使用任何合適的厚度。因而,根據一些實施例,導通孔插塞1301和第三包封體1401形成第二背側局部內連線結構1420。
圖14另外示出在導通孔插塞1301上方形成第三導電層1403和形成第二模塑穿孔806。在實施例中,第三導電層1403可以與上文關於圖7所描述的第二導電層701類似的方式且使用與所述第二導電層701類似的材料形成。舉例來說,在第三包封體1401和導通孔插塞1301的頂部上形成晶種層,形成光阻並圖案化所述光阻,在晶種層的暴露部分上鍍覆第三導電層1403的材料,移除光阻,且隨後蝕刻掉晶種層的暴露部分。然而,可利用任何合適的方法和材料來形成第三導電層1403。
一旦第三導電層1403已形成,就可在第三導電層1403上形成第二模塑穿孔806。在實施例中,第二模塑穿孔806可如上文關於圖8所描述那樣來形成。舉例來說,沉積晶種層(或者將第三導電層1403用作晶種層),放置光阻並圖案化所述光阻,使用鍍覆製程來鍍覆第二模塑穿孔806的材料,移除光阻,且移除晶種層(如果存在)。然而,可利用任何合適的方法或材料來形成第二模塑穿孔806。
此外,在第二模塑穿孔806已形成之後,可形成第二重佈線層920的其餘部分。舉例來說,在實施例中,可在第二模塑穿孔806和第三導電層1403兩者上方形成第二包封體908,且可薄化第二包封體908、第二模塑穿孔806和第三導電層1403,以形成第二重佈線層920。
另外,一旦第二重佈線層920已形成,就可以形成期望的任何其它金屬化層,以製造具有第二背側局部內連線結構1420(而不是第一背側局部內連線結構720)的第三重佈線結構1530。在第三重佈線結構1530已形成之後,可在第二背側局部內連線結構1420上方形成第三鈍化層921和第一外部連接件923。因而,根據一些其它實施例,可形成具有第三重佈線結構1530的第二內連線結構1540。
通過以此方式形成第二背側局部內連線結構1420,提供有助於減少來自局部內連線器件401的矽電流洩漏的另一種方法。此外,這種製程能夠減少這種洩漏,同時仍在局部內連線器件401與隨後形成的外部連接器(例如球柵陣列封裝)之間提供電源和接地的佈線。
圖15示出根據另一實施例的包括第二內連線結構1540的第二內連線封裝1500。圖15與圖12類似;然而,圖15示出第二內連線結構1540附接到中介物結構1000,而不是第一內連線結構100。在實施例中,第二內連線結構1540可附接到如上文關於圖10所描述的中介物結構1000。然而,可利用任何合適的附接或接合製程。
圖16示出根據一些實施例的系統封裝1600。根據一些實施例,系統封裝1600包括第一系統內連線封裝1200、安裝到第一系統內連線封裝1200的半導體器件1601和與半導體器件1601相對地接合到第一系統內連線封裝1200的第三外部連接件1605的放大視圖。
可使用拾放製程和與上述用於附接中介物結構1000的製程類似的接合技術將半導體器件1601(例如系統級封裝(System-in-Package,SiP)器件)安裝到第二外部連接件1201。根據一些實施例,使用回焊製程將半導體器件1601的接觸墊1603接合到第二外部連接件1201。在其它實施例中,第二外部連接件1201並未形成在第一系統內連線封裝1200上,且使用直接接合技術(例如熱壓縮接合技術或晶粒到晶粒精細線連接)將半導體器件1601接合到第一系統內連線封裝1200。因而,第一系統內連線封裝1200(或第二內連線封裝1500)可用于超大積體扇出(integrated fan out,InFO)基底技術應用中。
一旦半導體器件1601已接合到第一系統內連線封裝1200,就可在半導體器件1601與第一系統內連線封裝1200的上部表面(例如,第一介電層107)之間的間隙中形成第三底填充料1611。第三底填充料1611可使用上述用於形成第一底填充料413或第二底填充料1017的底填充料材料、沉積製程和固化製程來形成。
此外,可在中介物結構1000上方形成第三外部連接件1605,以用於系統封裝1600的外部連接。第三外部連接件1605可通過以下步驟來形成:首先使用與上述用於移除第一載體基底101的剝離製程類似的剝離製程將第二載體基底1101與第一系統內連線封裝1200剝離。第三外部連接件1605可包括第三凸塊下金屬(UBM)(例如第三UBM 1607)和形成在第三UBM 1607上方的第三焊料凸塊1609。第三凸塊下金屬(UBM)(例如第三UBM 1607)和第三焊料凸塊1609可使用與用於形成第一凸塊下金屬化925和第一焊料凸塊927的那些材料和製程類似的材料和製程來形成。第三外部連接件1605可形成在中介物結構1000的與第一內連線結構100相對的一側上。此外,第三外部連接件1605可在附接半導體器件1601之前形成或在附接半導體器件1601之後形成。
通過利用本文中所呈現的構思,例如局部矽內連線(local silicon interconnect,LSI)的局部內連線器件和基底穿孔(TSV)嵌入到例如具有緊湊封裝結構的積體基底上系統(SoIS)中。根據一些實施例,LSI器件可運用基底穿孔整合嵌入到SoIS技術中,從而為SoIS器件中的基底(substrate,SBT)技術的超大積體扇出(InFO)提供晶粒到晶粒精細線連接佈置。此外,可使用微影或光阻限定的通孔來形成基底穿孔(TSV)連接層,以向球柵陣列封裝(BGA)連接介面提供嵌入式局部矽內連線(embedded local silicon interconnect,eLSI)電源和接地(power and ground,P/G)輸出。因而,當在(SoIS)器件內提供晶粒到晶粒介面時,避免了eLSI矽電流洩漏和壓縮模塑化合物凹陷問題。
根據實施例,一種方法包含:在載體基底上方沉積第一介電層;在第一介電層上方沉積第一導電層;在第一導電層上方形成第一穿孔;將局部內連線器件附接到鄰近於第一穿孔的第一導電層;將局部內連線器件和第一穿孔包封於第一模塑化合物中;在局部內連線器件和第一模塑化合物上方形成第二介電層;在局部內連線器件的內連線穿孔上方形成第二穿孔;在第一穿孔上方形成第三穿孔;以及將第三穿孔和第二穿孔包封於第二模塑化合物中。在實施例中,所述方法更包含:在第二介電層中形成開口以暴露內連線穿孔;以及至少部分地在第二開口中沉積第二導電層。在實施例中,形成第一穿孔包括將導電填充材料鍍覆到圖案化光阻中。在實施例中,所述方法更包含:在第二模塑化合物上方沉積第二導電層,且所述第二導電層與第二穿孔和第三穿孔電連接。在實施例中,包封第三穿孔和第二穿孔包括層壓第二模塑化合物。在實施例中,所述方法更包含:在第一介電層的與局部內連線器件相對的一側上形成與第一導電層連接的第一外部接觸件。在實施例中,所述方法更包含:在包封局部內連線器件之後薄化局部內連線器件。
根據另一實施例,一種方法包含:在基底上方形成第一金屬化層;將局部內連線器件接合到第一金屬化層;將局部內連線器件包封於第一模塑化合物中;在局部內連線器件和第一模塑化合物上方形成背側重佈線層,所述背側重佈線層電耦接到局部內連線器件的穿孔;在背側重佈線層上方形成第二導通孔;以及將第二導通孔和背側重佈線層包封於第二模塑化合物中。在實施例中,形成背側重佈線層更包含:形成通孔;運用介電材料包封通孔;以及形成與通孔和介電材料兩者物理接觸的導電線。在實施例中,包封通孔至少部分地運用層壓製程來執行。在實施例中,形成背側重佈線層更包括沉積介電材料,在介電材料中形成第二開口以暴露局部內連線器件的穿孔,以及至少部分地在第二開口內沉積金屬層。在實施例中,形成第二導通孔更包含:圖案化位於局部內連線器件和第一模塑化合物上方的光阻;將導電材料鍍覆到光阻中;以及移除光阻。在實施例中,所述方法更包含在第一金屬化層上形成第三導通孔,其中包封局部內連線器件包封第三導通孔。在實施例中,包封第二導通孔包括層壓製程。在實施例中,所述方法更包含:形成與第二導通孔電連接的第一外部連接;將中介物結構接合到第一外部連接;以及形成與第一金屬化層的第二外部連接。
根據又另一實施例,一種半導體器件包含:第一重佈線層;位於第一重佈線層上方的第一模塑化合物;嵌入在第一模塑化合物中且電耦接到第一重佈線層的局部內連線器件;位於局部內連線器件上方且電耦接到局部內連線器件的第一穿孔的第二重佈線層;位於第二重佈線層上方的第二模塑化合物;嵌入在第二模塑化合物中且電耦接到第二重佈線層的第二穿孔;通過第一重佈線層電耦接到局部內連線器件的第一外部連接件;以及通過第二穿孔電耦接到局部內連線器件的第二外部連接件。在實施例中,第二重佈線層包括單一晶種層。在實施例中,第二重佈線層包括第三模塑化合物,所述第三模塑化合物不同於第一模塑化合物。在實施例中,所述半導體器件更包含附接到第一外部連接件的封裝器件。在實施例中,封裝器件是接合到第一外部連接件的晶粒到晶粒(die-to-die)。
前文概述若干實施例的特徵以使本領域的技術人員可更好地理解本公開的各個方面。本領域的技術人員應瞭解,其可易於使用本公開作為設計或修改用於進行本文中所引入的實施例的相同目的和/或實現相同優勢的其它製程和結構的基礎本領域的技術人員還應認識到,這種等效構造並不脫離本公開的精神和範圍,且本領域的技術人員可在不脫離本公開的精神和範圍的情況下在本文中進行各種改變、替代和更改。
100:第一內連線結構 101:第一載體基底 103:釋放層 105:聚合物層 107:第一介電層 109:開口 120:第一重佈線結構 201:第一導電層 301:第一模塑穿孔 401:局部內連線器件 403:導電連接件 405:焊料材料 407:電佈線 409:內連線器件穿孔 411:基底 413:第一底填充料 501:第一包封體 520:局部內連線層 607:第二介電層 609:第二開口 701:第二導電層 720:第一背側局部內連線結構 806:第二模塑穿孔 908:第二包封體 920:第二重佈線層 921:第三鈍化層 923:第一外部連接件 925:第一凸塊下金屬 927:第一焊料凸塊 930:第二重佈線結構 1000:中介物結構 1001:核心基底 1003:第一佈線結構 1005:第二佈線結構 1007:基底穿孔 1009:佈線層 1011:介電層 1013:填充物材料 1015:鈍化層 1017:第二底填充料 1101:第二載體基底 1103:接觸區域 1200:第一系統內連線封裝 1201:第二外部連接件 1203:第二凸塊下金屬 1205:第二焊料凸塊 1301:導通孔插塞 1401:第三包封體 1403:第三導電層 1420:第二背側局部內連線結構 1500:第二內連線封裝 1530:第三重佈線結構 1540:第二內連線結構 1600:系統封裝 1601:半導體器件 1603:接觸墊 1605:第三外部連接件 1607:第三凸塊下金屬 1609:第三焊料凸塊 1611:第三底填充料 P1:第一節距 P2:第二節距 W1:第一寬度 W2:第二寬度 W3:第三寬度 W4:第四寬度 W5:第五寬度 W6:第六寬度
結合附圖閱讀以下詳細描述會最好地理解本公開的各個方面。應注意,根據行業中的標準慣例,各種特徵並未按比例繪製。實際上,為了論述清楚起見,可任意增大或減小各種特徵的尺寸。 圖1到圖9示出根據一些實施例的形成第一內連線結構的中間步驟的橫截面視圖。 圖10到圖12示出根據一些實施例的使用第一內連線結構形成第一系統內連線封裝的中間步驟的橫截面視圖。 圖13和圖14示出根據一些其它實施例的形成第二內連線結構的中間步驟的橫截面視圖。 圖15示出根據一些實施例的使用第二內連線結構形成第二系統內連線封裝的中間步驟的橫截面視圖。 圖16示出根據一些實施例的併入第一內連線封裝的系統的橫截面視圖。
100:第一內連線結構
101:第一載體基底
103:釋放層
105:聚合物層
107:第一介電層
120:第一重佈線結構
201:第一導電層
301:第一模塑穿孔
401:局部內連線器件
403:導電連接件
409:內連線器件穿孔
413:第一底填充料
501:第一包封體
520:局部內連線層
607:第二介電層
701:第二導電層
720:第一背側局部內連線結構
806:第二模塑穿孔
908:第二包封體
920:第二重佈線層
921:第三鈍化層
923:第一外部連接件
925:第一凸塊下金屬
927:第一焊料凸塊
930:第二重佈線結構

Claims (1)

  1. 一種半導體器件的製造方法,包括: 在載體基底上方沉積第一介電層; 在所述第一介電層上方沉積第一導電層; 在所述第一導電層上方形成第一穿孔; 將局部內連線器件附接到鄰近於所述第一穿孔的所述第一導電層; 將所述局部內連線器件和所述第一穿孔包封於第一模塑化合物中; 在所述局部內連線器件和所述第一模塑化合物上方形成第二介電層; 在所述局部內連線器件的內連線穿孔上方形成第二穿孔; 在所述第一穿孔上方形成第三穿孔;以及 將所述第三穿孔和所述第二穿孔包封於第二模塑化合物中。
TW109145596A 2019-12-27 2020-12-22 半導體器件的製造方法 TW202129885A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962954329P 2019-12-27 2019-12-27
US62/954,329 2019-12-27
US16/869,066 US11515173B2 (en) 2019-12-27 2020-05-07 Semiconductor devices and methods of manufacturing
US16/869,066 2020-05-07

Publications (1)

Publication Number Publication Date
TW202129885A true TW202129885A (zh) 2021-08-01

Family

ID=76546510

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109145596A TW202129885A (zh) 2019-12-27 2020-12-22 半導體器件的製造方法

Country Status (2)

Country Link
US (1) US11515173B2 (zh)
TW (1) TW202129885A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819692B (zh) * 2022-07-08 2023-10-21 群創光電股份有限公司 電子裝置
TWI820992B (zh) * 2021-11-04 2023-11-01 胡迪群 毫米波天線模組封裝結構及其製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450613B2 (en) * 2018-03-23 2022-09-20 Intel Corporation Integrated circuit package with test circuitry for testing a channel between dies

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9437561B2 (en) * 2010-09-09 2016-09-06 Advanced Micro Devices, Inc. Semiconductor chip with redundant thru-silicon-vias
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9799592B2 (en) * 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9184139B2 (en) * 2013-12-17 2015-11-10 Stats Chippac, Ltd. Semiconductor device and method of reducing warpage using a silicon to encapsulant ratio
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9735131B2 (en) * 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10340206B2 (en) * 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
US10833052B2 (en) * 2016-10-06 2020-11-10 Micron Technology, Inc. Microelectronic package utilizing embedded bridge through-silicon-via interconnect component and related methods
JP6744202B2 (ja) * 2016-12-06 2020-08-19 ルネサスエレクトロニクス株式会社 半導体装置
US10522449B2 (en) * 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10290584B2 (en) * 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10381309B2 (en) * 2017-11-21 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having connecting module

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820992B (zh) * 2021-11-04 2023-11-01 胡迪群 毫米波天線模組封裝結構及其製造方法
TWI819692B (zh) * 2022-07-08 2023-10-21 群創光電股份有限公司 電子裝置

Also Published As

Publication number Publication date
US11515173B2 (en) 2022-11-29
US20210202266A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
US11189603B2 (en) Semiconductor packages and methods of forming same
US11616026B2 (en) Semiconductor device and method of manufacture
TWI746306B (zh) 封裝結構及其形成方法
TWI689998B (zh) 半導體封裝及其製造方法
KR20190055692A (ko) 반도체 패키지들 내의 금속화 패턴들 및 그 형성 방법들
TW201903986A (zh) 半導體封裝及其形成方法
TW202125658A (zh) 製造半導體裝置的方法
TWI719678B (zh) 半導體結構及其形成方法
TW202129885A (zh) 半導體器件的製造方法
KR102331050B1 (ko) 반도체 패키지 및 그 형성 방법
KR102455197B1 (ko) 집적 회로 패키지 및 방법
EP3945547A1 (en) Heat dissipation in semiconductor packages
TW202046448A (zh) 積體電路封裝及其形成方法
TWI719670B (zh) 積體電路封裝體及其製造方法
US20230091737A1 (en) Semiconductor Devices and Methods of Manufacturing
US12002767B2 (en) Integrated circuit package and method
US11444034B2 (en) Redistribution structure for integrated circuit package and method of forming same
US11854994B2 (en) Redistribution structure for integrated circuit package and method of forming same
TW202410216A (zh) 半導體封裝體及其形成方法
KR20220134432A (ko) 반도체 패키지 및 제조 방법
TW202114100A (zh) 半導體裝置的製造方法