TW202126706A - 製造半導體元件的方法 - Google Patents

製造半導體元件的方法 Download PDF

Info

Publication number
TW202126706A
TW202126706A TW109146838A TW109146838A TW202126706A TW 202126706 A TW202126706 A TW 202126706A TW 109146838 A TW109146838 A TW 109146838A TW 109146838 A TW109146838 A TW 109146838A TW 202126706 A TW202126706 A TW 202126706A
Authority
TW
Taiwan
Prior art keywords
photoresist
layer
polymer
bottom layer
functional group
Prior art date
Application number
TW109146838A
Other languages
English (en)
Inventor
何俊智
林進祥
張慶裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202126706A publication Critical patent/TW202126706A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)

Abstract

一種製造半導體元件的方法包括在半導體基板上方形成光阻底層。光阻底層包括具有光可裂解官能基的聚合物。在光阻底層上方形成光阻層。選擇性地曝光光阻層於光化輻射,以及顯影經選擇性曝光的光阻層以形成光阻圖案。

Description

底層組成物和製造半導體元件的方法
隨著消費類元件響應於消費者需求而變得愈來愈小,此等元件的個別組件在尺寸上也必要地減小。組成諸如行動電話、電腦平板及類似物的元件的主要組件的半導體元件已被迫變得愈來愈小,使得半導體元件內的個別元件(例如,電晶體、電阻器、電容器等)在尺寸上亦有減小的壓力。
在半導體元件的製造製程中使用的一種賦能技術為光微影材料的使用。此等材料經施加至待圖案化的層的表面,接著曝光於使該層圖案化的能量。此曝光修改光敏材料的曝光區域的化學及物理性質。此修改和在未曝光的光敏材料缺少修改的區域,可用於移除一個區域而不移除另一區域。
然而,隨著個別元件的大小已減小,光微影製程的處理窗口已變得愈來愈緊縮。因而,光微影處理領域的進步是維持縮小元件的能力所必需的,且需要其他改良以便滿足所要的設計準則,使得為維持朝向愈來愈小的組件前進。
應當理解,以下揭示內容提供用於實施本揭示內容的不同特徵的許多不同實施例或實例。元件及配置的特定實施例或實例將在下文描述以簡化本揭示內容。當然,此等元件及配置僅為實例且不欲為限制性的。舉例而言,元件的尺寸不限於所揭示的範圍或值,但可視元件的處理條件及/或所要性質而定。此外,在隨後描述中的第一特徵在第二特徵上方或上形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可介於第一特徵與第二特徵之間形成,使得第一及第二特徵不可直接接觸的實施例。為簡單及清楚起見,各種特徵可按不同標度任意地繪製。
此外,為了方便用於描述如諸圖中所繪示的一個元件或特徵與另一元件或特徵的關係的描述,在本文中可使用空間相對術語,諸如「在……下面」、「在……之下」、「下部」、「在……之上」、「上部」及類似術語。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的元件在使用或操作時的不同定向。元件可另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相關描述可類似地加以相應解釋。另外,術語「由……製成」可意味「包含」或「由……組成」。
用以達成次20 nm半間距解析度的極紫外線(extreme ultraviolet;EUV)微影技術處於發展中,其用於下一代次5 nm節點的大量生產。EUV微影技術需要具有高敏感度的高效能光阻劑以用於降低高功率曝光源的成本及提供影像的良好解析度。已開發出提供高敏感度及良好解析度的金屬抗蝕劑。然而,圖案崩塌(pattern collpase)及圖案浮渣(pattern scum)可歸因於抗蝕劑與光阻底層之間的反應機制及較高相互作用而發生。本揭示內容的實施例提供光阻圖案至基板之經改良的黏附性,由此在防止圖案浮渣的同時防止圖案崩塌。
第1圖繪示根據本揭示內容的實施例的製造半導體元件的處理流程100。在一些實施例中,在操作S110中,在待圖案化的層的表面或基板10上塗佈光阻底層組成物,以形成光阻底層20,如第2圖所示。在一些實施例中,光阻底層20具有在約2 nm至約300 nm範圍內的厚度。在一些實施例中,光阻底層具有在約20 nm至約100 nm範圍內的厚度。接著,在一些實施例中,光阻底層20經歷第一烘烤操作S120以蒸發底層組成物中的溶劑。底層20是以足以固化及乾燥底層20的溫度及時間進行烘烤。在一些實施例中,底層是在約40℃及300℃的溫度下加熱歷時約10秒至約10分鐘。在一些實施例中,底層是在自約80℃變至約200℃的溫度下加熱。在一些實施例中,第一烘烤導致底層聚合物組成物交聯。
在一些實施例中,在操作S130中,隨後在光阻底層20的一表面上塗佈一光阻層組成物,以形成光阻層15,如第2圖所示。在一些實施例中,光阻層15為光阻層。接著,在一些實施例中,光阻層15經歷第二烘烤操作S140 (或預烘烤操作)以蒸發抗蝕劑組成物中的溶劑。光阻層15是以足以固化及乾燥光阻層15的溫度及時間進行烘烤。在一些實施例中,光阻層是在約40℃及120℃的溫度下加熱歷時約10秒至約10分鐘。在一些實施例中,光阻層組成物是在烘烤光阻底層20之前塗佈在光阻底層20上,且光阻層15及光阻底層20一起在單一烘烤操作中烘烤以驅除兩個層的溶劑且導致底層的交聯。
在光阻層15的第二(或預)烘烤操作S140之後,在操作S150中,使光阻層15選擇性地曝光於光化輻射45/97 (參見第3A圖及第3B圖)。在一些實施例中,使光阻層15選擇性地曝光於紫外線輻射。在一些實施例中,紫外線輻射為深紫外線輻射(deep ultraviolet radiation;DUV)。在一些實施例中,紫外線輻射為極紫外線(extreme ultraviolet;EUV)輻射。在一些實施例中,光化輻射為電子束。
如第3A圖所示,在一些實施例中,曝光輻射45在輻照光阻層15之前穿過光罩30。在一些實施例中,光罩具有將在光阻層15中複製的圖案。在一些實施例中,該圖案是藉由光罩基板40上的不透明圖案35形成。不透明圖案35可藉由不透紫外線輻射的材料(諸如鉻)而形成,而光罩基板40是由對紫外線輻射透明的材料(諸如熔融石英)而形成。
在一些實施例中,使用極紫外線微影技術來執行光阻層15的選擇性曝光以形成曝光區域50及未曝光區域52。在極紫外線微影技術操作中,在一些實施例中,使用反射光罩65以形成圖案化曝光的光,如第3B圖所示。反射光罩65包括低熱膨脹玻璃基板70,Si及Mo的反射多層75形成於低熱膨脹玻璃基板70上。封蓋層80及吸收體層85形成於反射多層75上。後導電層90形成於低熱膨脹玻璃基板70的背面上。在極紫外線微影技術中,以約6°的入射角朝向反射光罩65引導極紫外線輻射95。極紫外線輻射的一部分97被Si/Mo多層75反射而朝向經光阻劑塗佈的基板10,而入射在吸收體層85上的極紫外線輻射的部分被光罩吸收。在一些實施例中,包括鏡子的額外光學件處於反射光罩65與經光阻劑塗佈的基板之間。
曝光於輻射的光阻層的曝光區域50經歷化學反應,由此相對於未曝光於輻射的光阻層的未曝光區域52改變曝光區域50在隨後施加的顯影劑中的溶解度。在一些實施例中,曝光於輻射的光阻層的曝光區域50經歷交聯反應。除了導致光阻層15中的化學反應之外,輻射45/97的一部分亦穿過光阻層15且導致光阻底層20中的反應。由於光阻底層20中的輻射誘發化學反應,曝光於輻射的光阻底層的曝光區域20b具有不同於未曝光於輻射的光阻底層的未曝光區域20a的玻璃轉化溫度(Tg)、密度或孔隙度。
接下來,在操作S160中,光阻層15經歷第三烘烤(或曝光後烘烤(post-exposure bake;PEB))。在一些實施例中,光阻層15是在約50℃及160℃的溫度下加熱歷時約20秒至約120秒。可使用曝光後烘烤以便幫助從在曝光期間輻射45/97碰撞在光阻層15上產生的酸/鹼/自由基的產生、分散及反應。此幫助有助於形成或增強化學反應,該些化學反應產生光阻層內的曝光區域50與未曝光區域52之間的化學差異。
在操作S170中,藉由將顯影劑施加至經選擇性曝光的光阻層來隨後顯影經選擇性曝光的光阻層。如第4圖所示,顯影劑57是從分配器62供應至光阻層15。在一些實施例中,光阻層的未曝光區域52是藉由形成光阻層15中的開口55圖案的顯影劑57移除以暴露底層20的未曝光區域20a,如第5圖所示。
在一些實施例中,光阻層15中的開口55圖案穿透底層20延伸至待圖案化的層或基板10中以形成基板10中的開口55’圖案,由此將光阻層15中的圖案轉印至基板10中,如第6圖所示。該圖案是使用一或多種合適的蝕刻劑藉由蝕刻延伸至基板中。在一些實施例中,蝕刻操作移除處於光阻圖案特徵50之間的底層的未曝光區域20a。在一些實施例中,光阻層圖案50是在蝕刻操作期間至少部分地移除。在其他實施例中,光阻層圖案50及在光阻層圖案下的底層的曝光區域20b是在蝕刻基板10之後藉由使用合適的光阻劑剝除器溶劑或藉由光阻劑灰化操作而移除。
在一些實施例中,基板10包括在至少基板的表面部分上的單晶半導體層。基板10可包括單晶半導體材料,諸如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基板10為SOI (絕緣體上矽;silicon-on insulator)基板的矽層。在某些實施例中,基板10是由結晶Si製成。
基板10在其表面區域中可包括一或多個緩衝層(未示出)。緩衝層可用以將晶格常數自基板的晶格常數逐漸地改變至隨後形成的源極/汲極區域的晶格常數。緩衝層可由磊晶生長的單晶半導體材料(諸如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP)而形成。在一實施例中,矽鍺(silicon germanium;SiGe)緩衝層磊晶生長於矽基板10上。SiGe緩衝層的鍺濃度可自最底部緩衝層的30原子%增大至最頂部緩衝層的70原子%。
在一些實施例中,基板10包括至少一種金屬、金屬合金及具有化學式MXa 的金屬/氮化物/硫化物/氧化物/矽化物的一或多個層,其中M為金屬且X為N、S、Se、O、Si,且a為約0.4至約2.5。在一些實施例中,基板10包括鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施例中,基板10包括具有至少矽或化學式MXb 的矽或金屬氧化物或氮化物的介電質,其中M為金屬或Si,X為N或O,且b在約0.4至約2.5的範圍內。在一些實施例中,基板10包括二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
在一些實施例中,光阻底層是由安置於光阻層與基板之間的聚合物組成物製成以改良光阻層至基板的黏附性。本揭示內容的實施例是關於新穎的光阻底層,新穎光阻底層允許控制及調諧光阻底層的玻璃轉化溫度(Tg)、密度或孔隙度。當底層具有比曝光後烘烤溫度低的Tg時,已曝光光阻劑可在曝光後烘烤製程期間滲透至底層中,從而導致殘留物剩餘在顯影區中。另一方面,當底層具有比曝光後烘烤溫度高的Tg時,由於光阻劑與底層之間的差黏附性(表面性質不匹配),圖案可能在顯影之後崩塌。儘管需要較高Tg以限制滲透,但高Tg可導致圖案崩塌。因此,需要控制Tg/密度/孔隙度以達成抑制滲透及圖案崩塌的最佳結果。在一些實施例中,底層20為底部抗反射塗層(bottom anti-reflective coating;BARC)。在一些實施例中,BARC層為有機BARC,在其他實施例中,BARC層為無機的,諸如含矽抗反射塗層(silicon-containing anti-reflective coating;SiARC)層。
根據本揭示內容的實施例的底層具有控制底層的光化輻射曝光部分與底層的未曝光部分之間的Tg/密度/孔隙度之對比度能力。在一些實施例中,底層20為具有比曝光後烘烤(post exposure bake;PEB)溫度高的Tg的聚合物組成物。在一些實施例中,底層20具有在約70℃至約220℃範圍內的Tg。底層20嵌入具有在曝光區處為底層20提供鍵裂解功能(bond cleavage function)的光可裂解官能基(photocleavable functional group)。鍵裂解功能幫助控制Tg/密度/孔隙度。曝光區中的Tg及密度減小且曝光區中的孔隙度增大,由此改良底層的曝光區與非曝光區之間的Tg/密度/孔隙度對比度。
底層光可裂解官能基可嵌入於底層聚合物主幹(或主鏈)、底層聚合物側鏈或交聯劑中。不管光可裂解官能基的位置如何,Tg、密度或孔隙度的差異可藉由嵌入於聚合物及/或交聯劑中的可裂解官能基的量及聚合物分子量(molecular weight;MW)來控制。在曝光於光化輻射之後,在一些實施例中,底層Tg降低,密度減小,且孔隙度增大。在一些實施例中,底層的光化輻射曝光部分20b與未曝光部分20a之間的Tg差異在約1℃至約150℃的範圍內。在一些實施例中,Tg差異在約25℃至約100℃的範圍內。在一些實施例中,底層的光化輻射曝光部分20b與未曝光部分之間的密度差異在約0.1 g/cm3 至約1 g/cm3 的範圍內。在一些實施例中,密度差異在約0.2 g/cm3 至約0.8 g/cm3 的範圍內。在一些實施例中,底層的光化輻射曝光部分20b與未曝光部分之間的孔隙度差異在約1%至約50%的範圍內。在一些實施例中,孔隙度差異在約5%至約35%的範圍內。低於所揭示範圍的Tg、密度或孔隙度差異可提供光化輻射曝光部分與未曝光部分之間的不足對比度且可導致不可接受的圖案崩塌位準。高於所揭示範圍的Tg、密度或孔隙度可導致不可接受的浮渣量。
在第7A圖、第7B圖、第7C圖及第7D圖中繪示了本揭示內容的實施例,其中第7D圖是第7A圖、第7B圖及第7C圖的關鍵。如第7A圖所示,在一些實施例中,光可裂解基團嵌入於底層主聚合物鏈的主幹中。在另一實施例中,光可裂解基團嵌入於底層聚合物側鏈中,如第7B圖所示。第7C圖繪示光可裂解基團嵌入於交聯劑中的實施例。
第8A圖、第8B圖及第8C圖繪示根據一實施例的在光可裂解基團藉由用光化輻射照射裂解之前及之後的底層聚合物的Tg的變化。第8D圖是第8A圖、第8B圖及第8C圖的關鍵。在一些實施例中,光化輻射為深紫外線,諸如KrF或ArF雷射照射。在其他實施例中,光化輻射為極紫外線(extreme ultraviolet;EUV)輻射,或電子束。在一些實施例中,第8A圖、第8B圖及第8C圖中的聚合物為交聯的聚(4-羥基苯乙烯) (poly(4-hydroxystyrene);PHS)。如第8A圖所示,光可裂解基團嵌入於底層主聚合物鏈的主幹中。在曝光於光化輻射後,聚合物鏈的主幹裂解且Tg降低。在另一實施例中,光可裂解基團嵌入於底層聚合物側鏈中,如第8B圖所示。在曝光於光化輻射後,聚合物側鏈裂解且Tg降低。第8C圖繪示光可裂解基團嵌入於交聯劑中的實施例。在曝光於光化輻射後,交聯劑裂解且Tg降低。
第8E圖及第8F圖繪示根據本揭示內容的實施例的光可裂解基團的兩個實例。在一些實施例中,光可裂解基團為聚碳酸酯(polycarbonate)(第8E圖),在其他實施例中,光可裂解基團為聚碸(polysulfone)(第8F圖)。聚碳酸酯及聚碸在曝光於諸如深紫外線、極紫外線及電子束的光化輻射時裂解。在一些實施例中,不同官能基用於同一底層聚合物組成物中(諸如使用聚碸及聚碳酸酯官能基兩者)以將Tg、密度或孔隙度調諧至所要範圍中。在一些實施例中,改變曝光後烘烤溫度及時間以調整底層的Tg、密度或孔隙度。
第8G圖繪示根據一實施例的聚(4-羥基苯乙烯) (poly(4-hydroxystyrene))(4-PHS)的Tg隨分子量(molecular weight;MW)的變化。使4-PHS裂解減小分子量及Tg。Tg可藉由控制分子量來控制。隨著分子量減小,Tg開始自176℃減小至約122℃。藉由控制交聯的底層聚合物中的光可裂解基團的量,可控制底層的Tg、密度或孔隙度。
第9圖繪示根據本揭示內容的一些實施例的底層組成物的一些組份。在一些實施例中,該底層組成物包括有機聚合物,有機聚合物包括但不限於聚羥基苯乙烯(polyhydroxystyrenes)、聚丙烯酸酯(polyacrylates)、聚甲基丙烯酸酯(polymethacrylates)、聚乙烯苯酚(polyvinylphenols)、聚苯乙烯(polystyrenes)及其共聚物。在一些實施例中,有機聚合物為聚(4-羥基苯乙烯)(poly(4-hydroxystyrene))、聚(4-乙烯苯酚-共-甲基丙烯酸甲酯)共聚物(poly(4-vinylphenol-co-methyl methacrylate) copolymer)及聚(苯乙烯)-b-聚(4-羥基苯乙烯)共聚物(poly(styrene)-b-poly(4-hydroxystyrene) copolymer),如第9圖中所繪示。在一些實施例中,該底層組成物包括無機聚合物,諸如聚矽氧烷(polysiloxane)及聚矽氧烷衍生物(polysiloxane derivatives)。在一些實施例中,聚矽氧烷衍生物包括諸如環氧基、胺基或硫醇基的官能基。在一些實施例中,該些光可裂解官能基與有機底層及無機底層兩者一起使用。在一些實施例中,光可裂解官能基為光可裂解官能基中的重複單元的數目n在約2至約500範圍內的寡聚物(oligomers)或聚合物。在光可裂解基團及底層中多於約500個重複單元可遭受效能降低。
在一些實施例中,底層20是藉由在一溶劑中製備一聚合物與一交聯劑的底層塗層組成物而形成。溶劑可為用於溶解聚合物及交聯劑的任何合適溶劑。底層塗層組成物是藉由旋轉塗佈塗覆在基板10或待圖案化的層上方。接著,烘烤底層組成物以乾燥底層且交聯聚合物,如在本文中參考第1圖所解釋。
在一些實施例中,光阻底層20的厚度在約2 nm至約300 nm的範圍內,且在其他實施例中,光阻底層厚度在約20 nm至約100 nm的範圍內。在一些實施例中,光阻底層20的厚度在約40 nm至約80 nm的範圍內。小於所揭示範圍的光阻底層厚度可能不足以提供足夠的光阻黏附性及抗反射性質。大於所揭示範圍的光阻底層厚度可能非必要地厚且可能不提供光阻層黏附性的進一步改良及浮渣減少。
交聯劑可為任何合適的交聯劑。交聯劑與主聚合物中的一者上的官能基及主聚合物中的另一者上的官能基反應以便將兩個主聚合物鏈交聯且連結在一起。此連結及交聯使交聯反應的聚合物產物的分子量增大且使底層的總體密度增大。
在一些實施例中,交聯劑具有以下結構:
Figure 02_image001
。在其他實施例中,交聯劑具有以下結構:
Figure 02_image003
, 其中C為碳,n在1至15的範圍內;A及B獨立地包括氫原子、羥基、鹵化物、芳族碳環或直鏈或環狀烷基、烷氧基/氟、具有在1與12之間的碳數的烷基/氟烷氧基鏈,且每一碳C含A及B;碳C鏈的第一末端處的第一末端碳C包括X且碳鏈的第二末端處的第二末端碳C包括Y,其中X及Y獨立地包括胺基、硫醇基、羥基、異丙醇基團或異丙基胺基,以下情況除外:當n=1時,則X及Y連結至同一個碳C。可用作為交聯劑的材料的特定實例包括以下:
Figure 02_image005
替代地,替代或除了將交聯劑添加至光阻劑組成物之外,在一些實施例中添加耦合試劑,在該些實施例中,除交聯劑外,亦添加耦合試劑。耦合試劑藉由在交聯劑之前與聚合物上的官能基反應來輔助交聯反應,以允許交聯反應的反應能量的減少及反應速率的提高。連結的耦合試劑接著與交聯劑反應,由此將交聯劑耦合至聚合物。
在一些實施例中,耦合試劑具有以下結構:
Figure 02_image007
, 其中R為碳原子、氮原子、硫原子或氧原子;M包括氯原子、溴原子、碘原子、--NO2 ;--SO3 -;--H--;--CN;--NCO、--OCN;--CO2 -;--OH;--OR*、--OC(O)CR*;--SR、--SO2 N(R*)2 ;--SO2 R*;SOR;--OC(O)R*;--C(O)OR*;--C(O)R*;--Si(OR*)3 ;--Si(R*)3 ;環氧基或類似物;且R*為經取代或未取代的C1至C12烷基、C1至C12芳基、C1至C12芳烷基或類似物。在一些實施例中用作為耦合試劑的材料的特定實例包括以下:
Figure 02_image009
在一些實施例中,光阻層15為藉由曝光於光化輻射而圖案化的光敏層。通常,受入射輻射衝擊的光阻劑區域的化學性質以取決於所用的光阻劑的類型的方式改變。光阻層15為正調性抗蝕劑或為負調性抗蝕劑。正調性抗蝕劑是指在曝光於諸如紫外線光的輻射時變得可溶解於顯影劑中的光阻劑材料,而未曝光(或曝光較少)的光阻劑的區域不可溶解於顯影劑中。另一方面,負調性抗蝕劑是指在曝光於輻射時變得不可溶解於顯影劑中的光阻劑材料,而未曝光(或曝光較少)的光阻劑的區域可溶解於顯影劑中。在曝光於輻射後變成不溶性的負抗蝕劑的區域可由於藉由曝光於輻射導致的交聯反應而變成不溶性的。
抗蝕劑為正調性抑或負調性可取決於用於顯影抗蝕劑的顯影劑的類型。舉例而言,當顯影劑為水基顯影劑(諸如氫氧化四甲銨(tetramethylammonium hydroxide;TMAH)溶液)時,一些正調性光阻劑提供正圖案(即,曝光區域是藉由顯影劑移除)。另一方面,當顯影劑為有機溶劑時,相同光阻劑提供負圖案(即,未曝光區域是藉由顯影劑移除)。此外,在利用TMAH溶液顯影的一些負調性光阻劑中,光阻劑的未曝光區域是藉由TMAH移除,且在曝光於光化輻射後經歷交聯的光阻劑的曝光區域在顯影之後保留在基板上。
在一些實施例中,根據本揭示內容的實施例的抗蝕劑組成物(諸如光阻劑)包括聚合物,或與一或多種光活性化合物(photoactive compound;PAC)一起的可聚合單體或寡聚物。在一些實施例中,聚合物、單體或寡聚物的濃度在基於抗蝕劑組成物的總重量的約1 wt.%至約75 wt.%的範圍內。在其他實施例中,聚合物、單體或寡聚物的濃度在約5 wt.%至約50 wt.%的範圍內。在低於所揭示範圍的聚合物、單體或寡聚物的濃度下,聚合物、單體或寡聚物對抗蝕劑效能的影響可忽略。在高於所揭示範圍的濃度下,抗蝕劑效能沒有實質改進,或劣化一致性光阻層的形成。
在一些實施例中,可聚合單體或寡聚物包括丙烯酸、丙烯酸酯、羥基苯乙烯或伸烷基。在一些實施例中,聚合物包括烴結構(諸如脂環烴結構),烴結構含有在與酸、鹼或藉由PAC產生的自由基混合時(如在下文進一步描述)會分解(例如,酸不穩定基團)或另外反應的一或多種基團。在一些實施例中,烴結構包括形成聚合物樹脂的骨幹的重複單元。此重複單元可包括丙烯酸酯、甲基丙烯酸酯、巴豆酸酯(crotonic esters)、乙烯酯、順丁烯二酸二酯(maleic diesters)、延胡索酸二酯(fumaric diesters)、依康酸二酯(itaconic diesters)、(甲基)丙烯腈、(甲基)丙烯醯胺、苯乙烯、乙烯醚、此等各者的組合或類似物。
在一些實施例中,用於烴結構的重複單元的特定結構包括以下各者中的一或多者:丙烯酸甲酯、丙烯酸乙酯、丙烯酸正丙酯、丙烯酸異丙酯、丙烯酸正丁酯、丙烯酸異丁酯、丙烯酸第三丁酯、丙烯酸正己酯、丙烯酸2-乙基己基酯、丙烯酸乙醯氧乙基酯、丙烯酸苯基酯、丙烯酸2-羥乙基酯、丙烯酸2-甲氧乙基酯、丙烯酸2-乙氧乙基酯、丙烯酸2-(2-甲氧乙氧)乙基酯、丙烯酸環己基酯、丙烯酸苄基酯、2-烷基-2-金剛烷基(甲基)丙烯酸酯或二烷基(1-金剛烷基)甲基(甲基)丙烯酸酯(dialkyl(1-adamantyl)methyl (meth)acrylate)、甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸正丙酯、甲基丙烯酸異丙酯、甲基丙烯酸正丁酯、甲基丙烯酸異丁酯、甲基丙烯酸第三丁酯、甲基丙烯酸正己酯、甲基丙烯酸2-乙基己基酯、甲基丙烯酸乙醯氧乙基酯、甲基丙烯酸苯基酯、甲基丙烯酸2-羥乙基酯、甲基丙烯酸2-甲氧乙基酯、甲基丙烯酸2-乙氧乙基酯、甲基丙烯酸2-(2-甲氧乙氧)乙基酯、甲基丙烯酸環己基酯、甲基丙烯酸苄基酯、甲基丙烯酸3-氯-2-羥丙基酯、甲基丙烯酸3-乙醯氧-2-羥丙基酯、甲基丙烯酸3-氯乙醯氧-2-羥丙基酯、巴豆酸丁酯、巴豆酸己酯或類似物。乙烯酯的實例包括乙酸乙烯酯、丙酸乙烯酯、丁酸乙烯酯、甲氧基乙酸乙烯酯、苯甲酸乙烯酯、順丁烯二酸二甲酯、順丁烯二酸二乙酯、順丁烯二酸二丁酯、延胡索酸二甲酯、延胡索酸二乙酯、延胡索酸二丁酯、依康酸二甲酯、依康酸二乙酯、依康酸二丁酯、丙烯醯胺、甲基丙烯醯胺、乙基丙烯醯胺、丙基丙烯醯胺、正丁基丙烯醯胺、第三丁基丙烯醯胺、環己基丙烯醯胺、2-甲氧乙基丙烯醯胺、二甲基丙烯醯胺、二乙基丙烯醯胺、苯基丙烯醯胺、苄基丙烯醯胺、甲基丙烯醯胺、甲基甲基丙烯醯胺、乙基甲基丙烯醯胺、丙基甲基丙烯醯胺、正丁基甲基丙烯醯胺、第三丁基甲基丙烯醯胺、環己基甲基丙烯醯胺、2-甲氧乙基甲基丙烯醯胺、二甲基甲基丙烯醯胺、二乙基甲基丙烯醯胺、苯基甲基丙烯醯胺、苄基甲基丙烯醯胺、甲基乙烯醚、丁基乙烯醚、己基乙烯醚、甲氧乙基乙烯醚、二甲基胺乙基乙烯醚或類似物。苯乙烯的實例包括苯乙烯、甲基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、乙基苯乙烯、異丙基苯乙烯、丁基苯乙烯、甲氧基苯乙烯、丁氧基苯乙烯、乙醯氧基苯乙烯、羥基苯乙烯、氯苯乙烯、二氯苯乙烯、溴苯乙烯、乙烯基苯甲酸甲酯、α-甲基苯乙烯、順丁烯二醯亞胺、乙烯基吡啶、乙烯基吡咯啶酮、乙烯基咔唑、此等各者的組合或類似物。
在一些實施例中,聚合物為聚羥基苯乙烯、聚甲基丙烯酸甲酯或聚羥基苯乙烯-丙烯酸第三丁酯(polyhydroxystyrene-t-butyl acrylate),例如,
Figure 02_image011
在一些實施例中,烴結構的重複單元亦具有取代至其中的單環或多環烴結構,或單環或多環烴結構為重複單元,以便形成脂環烴結構。單環結構的特定實例在一些實施例中包括雙環烷烴、三環烷烴、四環烷烴、環戊烷、環己烷或類似物。多環結構的特定實例在一些實施例中包括金剛烷(adamantane)、降莰烷(norbornane)、異莰烷(isobornane)、三環癸烷(tricyclodecane)、四環十二烷(tetracyclododecane)或類似物。
會分解的基團(另外被稱為脫離基團),或在PAC為光酸產生劑的一些實施例中,酸不穩定基團附接至烴結構,使得該基團將與在曝光期間藉由PAC產生的酸/鹼/自由基反應。在一些實施例中,會分解的基團為羧酸基團、氟化醇基團、酚醇基團、磺酸基團、磺醯胺基團、磺醯基亞胺基基團、(烷基磺醯基) (烷基羰基)亞甲基基團、(烷基磺醯基)(烷基-羰基)亞胺基基團、雙(烷基羰基)亞甲基基團、雙(烷基羰基)亞胺基基團、雙(烷基磺醯基)亞甲基基團、雙(烷基磺醯基)亞胺基基團、三(烷基羰基亞甲基基團、三(烷基磺醯基)亞甲基基團、此等各者的組合或類似基團。在一些實施例中,用於氟化醇基團的特定基團包括氟化羥烷基基團,諸如六氟異丙醇基團。用於羧酸基團的特定基團包括丙烯酸基團、甲基丙烯酸基團或類似基團。
在一些實施例中,聚合物亦包括附接至烴結構的其他基團,該些其他基團幫助改良可聚合樹脂的多種性質。舉例而言,將內酯基團至烴結構的包含物(inclusion)幫助在光阻劑已顯影之後減少線邊緣粗糙度的量,從而幫助減少在顯影期間出現的缺陷的數目。在一些實施例中,內酯基團包括具有五個至七個成員的環,儘管可將任何合適的內酯結構替代地用於內酯基團。
在一些實施例中,聚合物包括能夠幫助提高光阻層15至下層結構(例如,基板10)的黏附性的基團。極性基團可用於幫助提高黏附性。合適的極性基團包括羥基基團、氰基基團或類似基團,儘管可替代地使用任何合適的極性基團。
視情況,在一些實施例中,聚合物包括亦不含有會分解的基團的一或多種脂環烴結構。在一些實施例中,不含會分解的基團的烴結構包括諸如以下各者的結構:1-金剛烷基(甲基)丙烯酸酯、三環癸烷基(甲基)丙烯酸酯、環己基(甲基丙烯酸酯)、此等各者的組合或類似物。
在一些實施例中,諸如當使用EUV輻射時,根據本揭示內容的光阻劑組成物為含金屬的抗蝕劑。含金屬的抗蝕劑包括與溶劑中的一或多種配位子錯合的金屬核。在一些實施例中,抗蝕劑包括金屬粒子。在一些實施例中,金屬粒子為奈米粒子。如本文中所使用,奈米粒子為具有在約1 nm與約20 nm之間的平均粒子大小的粒子。在一些實施例中,包括1至約18個金屬粒子的金屬核與溶劑中的一或多種有機配位子錯合。在一些實施例中,金屬核包括與溶劑中的一或多種有機配位子錯合的3個、6個、9個或更多個金屬奈米粒子。
在一些實施例中,金屬粒子為以下各者中的一或多者:鈦(Ti)、鋅(Zn)、鋯(Zr)、鎳(Ni)、鈷(Co)、錳(Mn)、銅(Cu)、鐵(Fe)、鍶(Sr)、鎢(W)、釩(V)、鉻(Cr)、錫(Sn)、鉿(Hf)、銦(In)、鎘(Cd)、鉬(Mo)、鉭(Ta)、鈮(Nb)、鋁(Al)、銫(Cs)、鋇(Ba)、鑭(La)、鈰(Ce)、銀(Ag)、銻(Sb)、該些金屬的組合或該些金屬的氧化物。在一些實施例中,金屬粒子包括選自由Ce、Ba、La、Ce、In、Sn、Ag、Sb及其氧化物組成的群組中的一或多者。
在一些實施例中,金屬奈米粒子具有在約2 nm與約5 nm之間的平均粒子大小。在一些實施例中,抗蝕劑組成物中的金屬奈米粒子的量在基於奈米粒子及溶劑的重量的約0.5 wt.%至約15 wt.%的範圍內。在一些實施例中,抗蝕劑組成物中的奈米粒子的量在基於奈米粒子及溶劑的重量的約5 wt.%至約10 wt.%的範圍內。在一些實施例中,金屬粒子的濃度在基於溶劑及金屬粒子的重量的1 wt.%至7 wt.%的範圍內。低於約0.5 wt.%金屬奈米粒子,抗蝕劑塗層過薄。高於約15 wt.%金屬奈米粒子,抗蝕劑塗層過厚且過黏。
在一些實施例中,金屬核是由配位子錯合,其中配位子包括分支或未分支、環狀或非環狀的飽和有機基團,包括C1至C7烷基基團或C1至C7氟烷基基團。C1至C7烷基基團或C1至C7氟烷基基團包括選自由以下各者組成的群組的一或多個取代基:-CF3 、-SH、-OH、=O、-S-、-P-、-PO2 、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2 OH、-SO2 SH、-SOH及-SO2 -。在一些實施例中,配位子包括選自由-CF3 、-OH、-SH及-C(=O)OH取代基組成的群組的一或多個取代基。
在一些實施例中,配位子為羧酸或磺酸配位子。舉例而言,在一些實施例中,配位子為甲基丙烯酸。在一些實施例中,金屬粒子為奈米粒子,且金屬奈米粒子與包括脂族或芳族基團的配位子錯合。脂族或芳族基團可為未分支的或以含1至9個碳的環狀或非環狀飽和側基(pendant group)(包括烷基基團、烯基基團及苯基基團)作為分支。分支基團可用氧或鹵素進一步取代。在一些實施例中,每一金屬粒子由1至25個配位子單元來錯合。在一些實施例中,每一金屬粒子由3至18個配位子單元來錯合。
在一些實施例中,抗蝕劑組成物包括基於抗蝕劑組成物的總重量的約0.1 wt.%至約20 wt.%的配位子。在一些實施例中,抗蝕劑包括約1 wt.%至約10 wt.%的配位子。在一些實施例中,配位子濃度為基於金屬粒子的重量及配位子的重量的約10 wt.%至約40 wt.%。低於約10 wt.%的配位子,有機金屬光阻劑不能有很好地功能。高於約40 wt.%的配位子,則難以形成一致的光阻層。在一些實施例中,配位子以基於配位子及溶劑的重量的約5 wt.%至約10 wt.%重量範圍溶解於塗佈溶劑(諸如丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA))中。
在一些實施例中,共聚物及PAC與任何所要的添加劑或其他試劑一起添加至溶劑中以用於塗覆。一旦添加,混合物接著經混合以遍佈光阻劑達成均質組成物,以確保不存在由非均勻混合或光阻劑的非均質組成物導致的缺陷。一旦混合在一起,光阻劑即可在使用之前儲存或立即使用。
溶劑可為任何合適的溶劑。在一些實施例中,溶劑為選自以下各者中的一或多者:丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate;PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether;PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁內酯(GBL)、環己酮(cyclohexanone;CHN)、乳酸乙酯(ethyl lactate;EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲醯胺(dimethylformamide;DMF)、異丙醇(isopropanol;IPA)、四氫呋喃(tetrahydrofuran;THF)、甲基異丁基甲醇(methyl isobutyl carbinol;MIBC)、乙酸正丁酯(n-butyl acetate;nBA)及2-庚酮(MAK)。
光阻劑的一些實施例包括一或多種光活性化合物(photoactive compound;PAC)。PAC為光活性組份,諸如光酸產生劑(photoacid generator;PAG)、光鹼(photobase;PBG)產生劑、光可分解鹼(photo decomposable base;PDB)、自由基產生劑或類似物。PAC可為正作用或負作用的。在PAC為光酸產生劑的一些實施例中,PAC包括鹵化三嗪(halogenated triazines)、鎓鹽(onium salts)、重氮鹽(diazonium salts)、芳族重氮鹽(aromatic diazonium salts)、鏻鹽、鋶鹽、錪鹽、醯亞胺磺酸鹽、肟磺酸鹽(oxime sulfonate)、重氮二碸(diazodisulfone)、二碸(disulfone)、鄰硝基苄基磺酸鹽(o-nitrobenzylsulfonate)、磺酸化酯、鹵化磺醯基氧二羧醯亞胺(halogenated sulfonyloxy dicarboximides)、重氮二碸化合物(diazodisulfones)、α-氰基氧胺-磺酸鹽、醯亞胺磺酸鹽、酮重氮碸、磺醯基重氮酯、1,2-二(芳基磺醯基)肼(1,2-di(arylsulfonyl)hydrazines)、硝基苄基酯及s-三嗪衍生物(s-triazine derivatives)、此等各者的組合或類似物。
光酸產生劑的特定實例包括α-(三氟甲基磺醯基氧)-雙環[2.2.1]庚-5-烯-2,3-二羧醯亞胺(α-(trifluoromethylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarb-o-ximide)(MDT)、N-羥基-苯二甲醯亞胺(N-hydroxy-naphthalimide)(DDSN)、安息香甲苯磺酸酯(benzoin tosylate)、第三丁基苯基-α-(對甲苯磺醯基氧)-乙酸酯及第三丁基-α-(對甲苯磺醯基氧)-乙酸酯、三芳基鋶(triarylsulfonium)及二芳基錪六氟銻酸鹽(diaryliodonium hexafluoroantimonates)、六氟砷酸鹽、三氟甲烷磺酸鹽、錪全氟辛烷磺酸鹽、N-樟腦磺醯基氧苯二甲醯亞胺(N-camphorsulfonyloxynaphthalimide)、N-五氟苯基磺醯基氧苯二甲醯亞胺(N-pentafluorophenylsulfonyloxynaphthalimide)、離子錪磺酸鹽(諸如二芳基錪(烷基或芳基)磺酸鹽及雙-(二第三丁基苯基)錪莰基磺酸鹽)、全氟烷烴磺酸鹽(perfluoroalkanesulfonates)(諸如全氟戊烷磺酸鹽、全氟辛烷磺酸鹽、全氟甲烷磺酸鹽)、芳基(例如,苯基或苄基)三氟甲磺酸鹽(諸如三苯基鋶三氟甲磺酸鹽或雙-(第三丁基苯基)錪三氟甲磺酸鹽);焦五倍子酚衍生物(pyrogallol derivatives)(例如,焦五倍子酚的三甲磺酸鹽)、羥基醯亞胺的三氟甲烷磺酸鹽酯、α,α’-雙-磺醯基-重氮甲烷、硝基取代的苄基醇的磺酸鹽酯、萘醌-4-二疊氮化物(naphthoquinone-4-diazides)、烷基二碸或類似者。
在PAC為自由基產生劑的一些實施例中,PAC包括n-苯基甘胺酸;芳族酮,包括二苯基酮、N,N’-四甲基-4,4’-二胺基二苯基酮、N,N’-四乙基-4,4’-二胺基二苯基酮、4-甲氧基-4’-二甲基胺基二苯基酮、3,3’-二甲基-4-甲氧基二苯基酮、p,p’-雙(二甲基胺基)二苯基酮、p,p’-雙(二乙基胺基)-二苯基酮;蒽醌、2-乙基蒽醌;萘醌;及菲醌;安息香化合物,包括安息香、安息香甲基醚、安息香異丙基醚、安息香-正丁基醚、安息香-苯基醚、甲基安息香及乙基安息香;苄基衍生物,包括二苄基、二硫化苄基二苯及苄基二甲基縮酮;吖啶衍生物,包括9-苯基吖啶及1,7-雙(9-吖啶基)庚烷;噻噸酮化合物(thioxanthones),包括2-氯噻噸酮、2-甲基噻噸酮、2,4-二乙基噻噸酮、2,4-二甲基噻噸酮及2-異丙基噻噸酮;乙醯苯化合物,包括1,1-二氯乙醯苯、對第三丁基二氯-乙醯苯、2,2-二乙氧基乙醯苯、2,2-二甲氧基-2-苯基乙醯苯及2,2-二氯-4-苯氧基乙醯苯;2,4,5-三芳基咪唑二聚物,包括2-(鄰氯苯基)-4,5-二苯基咪唑二聚物、2-(鄰氯苯基)-4,5-二-(間甲氧基苯基咪唑二聚物、2-(鄰氟苯基)-4,5-二苯基咪唑二聚物、2-(鄰甲氧基苯基)-4,5-二苯基咪唑二聚物、2-(對甲氧基苯基)-4,5-二苯基咪唑二聚物、2,4-二(對甲氧基苯基)-5-苯基咪唑二聚物、2-(2,4-二甲氧基苯基)-4,5-二苯基咪唑二聚物及2-(對甲基巰基苯基)-4,5-二苯基咪唑二聚物;此等各者的組合或類似物。
如一般熟習此項技術者將認識到的,本文中列出的化學化合物僅意欲作為PAC的說明實例且不欲將實施例限於僅明確地描述的彼等PAC。而是,可使用任何合適的PAC,且所有此等PAC全部意欲包括在本揭示內容實施例的範疇內。
在一些實施例中,交聯劑被添加至光阻劑。交聯劑與來自烴結構中的一者的一個基團反應且亦與來自烴結構中的單獨一者的第二基團反應,以便使兩種烴結構交聯且連結在一起。此連結及交聯使交聯反應的聚合物產物的分子量增大且亦使光阻劑的總連結密度增大。密度及連結密度的此增大幫助改良光阻圖案。
在一些實施例中,交聯劑具有以下結構:
Figure 02_image013
。在其他實施例中,交聯劑具有以下結構:
Figure 02_image015
, 其中C為碳,n在1至15範圍內;A及B獨立地包括氫原子、羥基、鹵化物、芳族碳環或直鏈或環狀烷基、烷氧基/氟、具有在1與12之間的碳數的烷基/氟烷氧基鏈,且每一碳C含A及B;碳C鏈的第一末端處的第一末端碳C包括X且碳鏈的第二末端處的第二末端碳C包括Y,其中X及Y獨立地包括胺基、硫醇基、羥基、異丙醇基團或異丙基胺基,以下情況除外:當n=1時,則X及Y連結至同一個碳C。可用作為交聯劑的材料的特定實例包括以下各者:
Figure 02_image017
替代地,替代或除了將交聯劑添加至光阻劑組成物之外,在一些實施例中添加耦合試劑,其中除交聯劑外,亦添加耦合試劑。耦合試劑藉由在交聯試劑之前與聚合物樹脂中的烴結構上的基團反應來輔助交聯反應,以允許交聯反應的反應能量的減少及反應速率的提高。連結的耦合試劑接著與交聯劑反應,由此將交聯劑耦合至聚合物樹脂。
替代地,在耦合試劑是在無交聯劑的情況下添加至光阻劑組成物的一些實施例中,耦合試劑用於將來自聚合物樹脂中的烴結構中的一者的一個基團耦合至來自烴結構的單獨一者的第二基團,以便使兩種聚合物交聯且連結在一起。然而,在如此實施例中,不同於交聯劑,耦合試劑不保留作為聚合物的部分,而僅幫助將一種烴結構直接連結至另一種烴結構。
在一些實施例中,耦合試劑具有以下結構:
Figure 02_image007
, 其中R為碳原子、氮原子、硫原子或氧原子;M包括氯原子、溴原子、碘原子、--NO2 ;--SO3 -;--H--;--CN;--NCO、--OCN;--CO2 -;--OH;--OR*、--OC(O)CR*;--SR、--SO2 N(R*)2 ;--SO2 R*;SOR;--OC(O)R*;--C(O)OR*;--C(O)R*;--Si(OR*)3 ;--Si(R*)3 ;環氧基或類似物;且R*為經取代或未取代的C1至C12烷基、C1至C12芳基、C1至C12芳烷基或類似物。在一些實施例中用作為耦合試劑的材料的特定實例包括以下:
Figure 02_image019
光阻劑的個別組份被置放於溶劑中以便幫助光阻劑的混合及分配。為了幫助光阻劑的混合及分配,至少部分地基於針對聚合物樹脂以及PAC選取的材料來選取溶劑。在一些實施例中,選擇溶劑,使得聚合物樹脂及PAC可均勻地溶解至溶劑中且分配於待圖案化的層上。
在一些實施例中,淬滅劑(quencher)在一些實施例中被添加至光阻劑以抑制產生的酸/鹼/自由基在光阻劑內的擴散。淬滅劑改良光阻圖案組態以及光阻劑隨時間的穩定性。
在一些實施例中添加至光阻劑的另一添加劑為穩定劑,穩定劑幫助防止在光阻劑曝光期間產生的酸的不期望的擴散。
在一些實施例中添加至光阻劑的另一添加劑為用於幫助控制光阻劑在顯影期間的溶解的溶解抑制劑。
著色劑(coloring agent)為在光阻劑的一些實施例中添加至光阻劑的另一添加劑。著色劑觀測器檢查光阻劑且找出可能需要在進一步處理之前修補的任何缺陷。
表面整平劑(surface leveling agent)在一些實施例中添加至光阻劑以幫助整平光阻劑的頂表面,使得碰撞光不會被不平整表面不利地修改。
在一些實施例中,聚合物樹脂及PAC與任何所要添加劑或其他試劑一起添加至溶劑以用於塗覆。一旦添加,接著混合混合物以遍及光阻劑達成均質組成物,以確保不存在由光阻劑的未均勻混合或非均質組成物導致的缺陷。一旦混合在一起,光阻劑即可在使用之前儲存或立即使用。
一旦準備好,即將光阻劑施加至底層20,如第2圖所示,以形成光阻層15。在一些實施例中,使用諸如以下各者的製程來塗覆光阻劑:旋塗塗佈製程、浸漬塗佈方法、氣刀塗佈方法、簾塗佈方法、線錠塗佈方法、凹版塗佈方法、層壓方法、擠壓塗佈方法、此等各者的組合或類似塗佈方法。在一些實施例中,光阻層15厚度在約10 nm至約300 nm範圍內。
在光阻層15已施加至基板10之後,在一些實施例中執行光阻層的曝光前烘烤以在輻射曝光之前固化且乾燥光阻劑(參考第1圖)。光阻層15的固化及乾燥移除溶劑組份,同時留下光阻劑聚合物、PAC、交聯劑及其他所選的添加劑。在一些實施例中,預烘烤是在適合於蒸發溶劑的溫度下(諸如在約50℃與120℃之間)執行,儘管精確溫度取決於針對光阻劑選擇的材料。執行預烘烤持續足以固化且乾燥光阻層的時間,諸如在約10秒至約10分鐘之間。
第3A圖及第3B圖繪示光阻層15及底層的選擇性曝光以形成各自的曝光區域50及曝光部分20b及各自的未曝光區域52及未曝光部分20a。在一些實施例中,曝光於輻射是藉由將經光阻劑塗佈的基板置放於光微影技術工具中來實行。光微影技術工具包括光罩30/65、光學件、用於提供輻射45/97以用於曝光的曝光輻射源及用於支撐及移動經受曝光輻射的基板的可移動台。
在一些實施例中,輻射源(未示出)將諸如紫外線光的輻射45、97供應至光阻層15以便誘發PAC的反應,PAC又與聚合物樹脂反應以化學地更改輻射45、97碰撞至的光阻層的彼等區域,且使底層20中的光可裂解基團裂解。在一些實施例中,輻射為電磁輻射,諸如g線(波長為約436 nm)、i線(波長為約365 nm)、紫外線輻射、深紫外線輻射、極紫外線、電子束或類似物。在一些實施例中,輻射源是選自由以下各者組成的群組:汞蒸汽燈、疝燈、碳弧燈、KrF準分子雷射光(波長為248 nm)、ArF準分子雷射光(波長為193 nm)、F2 準分子雷射光(波長為157 nm)或CO2 雷射激發Sn電漿(極紫外線,波長為13.5 nm)。
在一些實施例中,在光微影技術工具中使用光學件(未示出)以在輻射45/97藉由光罩30/65圖案化之前或之後擴大、反射或以其他方式控制輻射。在一些實施例中,光學件包括一或多個透鏡、鏡子、濾光片及其組合以控制沿著光學件的路徑的輻射45/97。
在一實施例中,圖案化輻射97為具有約13.5 nm的波長的極紫外線光,PAC為光酸產生劑,且使用交聯劑。圖案化輻射97碰撞在光酸產生劑上,且光酸產生劑吸收碰撞的圖案化輻射97。此吸收引發光酸產生劑以在光阻層15內產生質子(例如,H+ 原子)。當質子衝擊烴結構上的羧酸基團時,質子與羧酸基團反應,從而整體地化學更改羧酸基團及更改聚合物樹脂的性質。羧酸基團接著在一些實施例中與交聯劑反應以與光阻層15的曝光區域內的其他聚合物樹脂交聯。另外,圖案化輻射97碰撞在嵌入於底層聚合物中的光可裂解官能基上,從而導致底層聚合物裂解,伴隨著分子量、玻璃轉化溫度及密度的減小及孔隙度的增大。
在光阻層15及底層20已曝光於曝光輻射45/97之後,在一些實施例中,執行曝光後烘烤以藉由推動在光阻層的曝光區中發生的交聯反應及光可裂解官能基的裂解來幫助自輻射45/97在曝光期間碰撞在PAC上產生的酸,鹼/自由基的產生、分配、反應。在一些實施例中,曝光後烘烤在約50℃至約160℃範圍內的溫度下發生持續介於約20秒與約120秒之間的期間。
在一些實施例中,光阻劑顯影劑57包括一溶劑及一酸或一鹼。在一些實施例中,溶劑的濃度為基於光阻劑顯影劑的總重量的約60 wt.%至約99 wt.%。酸或鹼濃度為基於光阻劑顯影劑的總重量的約0.001 wt.%至約20 wt.%。在某些實施例中,顯影劑中的酸或鹼濃度為基於光阻劑顯影劑的總重量的約0.01 wt.%至約15 wt.%。
在一些實施例中,顯影劑57是使用旋塗製程塗覆至光阻層15。在旋塗製程中,顯影劑57是在光阻劑塗佈的基板旋轉時自光阻層15之上塗覆至光阻層15,如第4圖所示。在一些實施例中,顯影劑57是以介於約5 ml/min與約800 ml/min之間的速率供應,同時經光阻劑塗佈的基板10以介於約100 rpm與約2000 rpm之間的速度旋轉。在一些實施例中,顯影劑處在介於約10℃與約80℃之間的溫度下。在一些實施例中,顯影操作繼續約30秒至約10分鐘之間。
儘管旋塗操作是用於在曝光之後顯影光阻層15的一種合適方法,但該操作意欲為說明性且不欲限制實施例。而是,可替代地使用任何合適的顯影操作,包括浸漬製程、攪煉(puddle) 製程及噴塗方法。所有此等顯影操作包括在實施例的範疇內。
在顯影製程期間,顯影劑57溶解交聯的負抗蝕劑的輻射未曝光區域52,從而暴露底層20的表面(如第5圖所示),且留下良好界定的光阻劑的曝光區域50,與藉由習知負光阻劑光微影技術提供的清晰度相比,該些區域具有經改良的清晰度。
在顯影操作S170之後,自經圖案化的光阻劑覆蓋的基板移除剩餘的顯影劑。在一些實施例中,使用旋轉乾燥製程移除剩餘的顯影劑,儘管可使用任何合適的移除技術。在光阻層15經顯影且剩餘的顯影劑經移除之後,在圖案化光阻層50就位的同時執行額外製程。舉例而言,在一些實施例中,執行使用乾式或濕式蝕刻的蝕刻操作,以將光阻層50的圖案穿過底層20轉印至下層基板10,從而形成如第6圖所示的開口55’。底層20及基板10具有不同於光阻層15的蝕刻抗性。在一些實施例中,蝕刻劑比光阻層15對底層20及基板10選擇性更強。在一些實施例中,使用不同的蝕刻劑或蝕刻參數以蝕刻底層的未曝光部分20a,而非蝕刻基板10。
在一些實施例中,待圖案化的層60在形成底層20之前安置於基板上方,如第10圖所示。在一些實施例中,待圖案化的層60為金屬化層或安置於金屬化層上方的介電層(諸如鈍化層)。在待圖案化的層60為金屬化層的實施例中,待圖案化的層60是使用金屬化製程及金屬沉積技術(包括化學氣相沉積、原子層沉積及物理氣相沉積(濺射))由導電材料形成。同樣地,若待圖案化的層60為介電層,則待圖案化的層60是藉由介電層形成技術(包括熱氧化、化學氣相沉積、原子層沉積及物理氣相沉積)形成。
隨後使光阻層15及光阻底層20選擇性地曝光於光化輻射45/97以分別在光阻層及底層中形成曝光區域50及曝光部分20b及未曝光區域52及未曝光部分20a,如第11A圖及第11B圖所示及關於第3A圖及第3B圖在本文中描述。如本文中所解釋,光阻劑為負光阻劑,其中在一些實施例中,聚合物交聯在曝光區域50中發生。
如第12圖所示,未曝光光阻劑區域52是藉由分配來自分配器62的顯影劑57以形成光阻劑開口55的圖案而顯影,如第13圖所示。顯影操作類似於在本文中參考第4圖及第5圖解釋的顯影操作。
接著,如第14圖所示,光阻層15中的開口55是使用蝕刻操作穿過光阻底層20a的未曝光部分轉印至待圖案化的層60,且光阻層15及光阻底層的曝光部分20b經移除,如參考第6圖所解釋,以形成待圖案化的層60中的開口55”。
其他實施例包括在上文所描述的操作之前、期間或之後的其他操作。在一些實施例中,所揭示的方法包括形成包括鰭式場效電晶體(fin field effect transistor;FinFET)結構的半導體元件。在一些實施例中,複數個作用鰭形成於半導體基板上。此等實施例進一步包括以下操作:經由圖案化的硬遮罩的開口蝕刻基板以形成基板中的溝槽;用介電材料填充該些溝槽;執行化學機械研磨(chemical mechanical polishing;CMP)製程以形成淺溝槽隔離(shallow trench isolation;STI)特徵;及磊晶生長或凹陷STI特徵以形成鰭狀作用區域。在一些實施例中,一或多個閘極電極形成於基板上。一些實施例包括形成閘極間隔物、摻雜的源極/汲極區域及用於閘極/源極/汲極特徵的觸點等。在其他實施例中,目標材料形成為多層互連結構中的金屬線。舉例而言,金屬線可在基板的層間介電質(inter-layer dielectric;ILD)層中形成,該層已經蝕刻以形成複數個溝槽。該些溝槽可用諸如金屬的導電材料填充;且導電材料可使用諸如化學機械平坦化(chemical mechanical planarization;CMP)的製程進行拋光以曝光圖案化ILD層,由此形成ILD層中的金屬線。以上為使用本文中描述的方法可製造及/或改良的元件/結構的非限制性實例。
在一些實施例中,根據本揭示內容的實施例,形成作用組件,諸如二極體、場效電晶體(field-effect transistor;FET)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor;CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻率電晶體、FinFET、其他三維(three-dimensional;3D) FET、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(metal-oxide semiconductor field effect transistor;CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻率電晶體、其他記憶胞及其組合。
與習知曝光技術相比,根據本揭示內容的新穎的底層組成物及半導體元件製造方法,在較高晶圓曝光量下提供較高的半導體元件特徵解析度及密度,並且減少在較高效率製程中的缺陷。本揭示內容的實施例提供光阻圖案至基板的改良黏附性,從而在防止圖案浮渣的同時防止圖案崩塌。
本揭示內容的一實施例是一種製造半導體元件的方法,方法包括在半導體基板上方形成光阻底層。光阻底層包括具有光可裂解官能基的主聚合物。在光阻底層上方形成光阻層。選擇性地曝光光阻層於光化輻射,且顯影經選擇性曝光的光阻層以形成光阻圖案。在一實施例中,選擇性地曝光光阻劑於光化輻射使光阻底層中的光可裂解官能基裂解。在一實施例中,選擇性地曝光光阻劑於光化輻射調整光阻底層的玻璃轉化溫度(Tg)、密度或孔隙度。在一實施例中,光可裂解官能基為寡聚物或聚合物。在一實施例中,光可裂解官能基的重複單元的數目在2至500的範圍內。在一實施例中,光可裂解官能基嵌入於主聚合物的主幹、主聚合物的側鏈或交聯劑中。在一實施例中,光阻底層為有機聚合物或無機聚合物。在一實施例中,無機聚合物為聚矽氧烷。在一實施例中,光可裂解官能基為聚碳酸酯或聚碸。
本揭示內容的另一實施例是一種製造半導體元件的方法,此方法包括在半導體基板上方形成光阻底層。光阻底層包括主聚合物。在光阻底層上方形成光阻層。選擇性地曝光光阻層及光阻底層於光化輻射。降低選擇性地曝光於光化輻射的光阻底層的一部分的玻璃轉化溫度(Tg),降低此部分的密度,或增大此部分的孔隙度。顯影經選擇性曝光的光阻層以形成圖案化的光阻層。在一實施例中,光阻底層的曝光部分與未曝光部分之間的Tg差異在1℃至150℃的範圍內。在一實施例中,光阻底層的曝光部分與未曝光部分之間的密度差異在0.1 g/cm3 至1 g/cm3 的範圍內。在一實施例中,光阻底層的曝光部分與未曝光部分之間的孔隙度差異在1%至50%的範圍內。在一實施例中,此方法包括在選擇性地曝光光阻層及光阻底層於光化輻射之後,且在顯影經選擇性曝光的光阻層之前,在範圍在50℃至150℃內的溫度下加熱光阻層及光阻底層。
本揭示內容的另一實施例為一種組成物,組成物包括聚合物,聚合物包括第一主聚合物鏈、第二主聚合物鏈及光可裂解官能基。第一主聚合物鏈及第二主聚合物鏈藉由交聯劑連結。第一主聚合物鏈及第二主聚合物鏈為聚羥基苯乙烯或無機聚合物中的一或多者。光可裂解官能基嵌入於第一主聚合物鏈或第二主聚合物鏈中、嵌入於第一主聚合物鏈及第二主聚合物鏈的側鏈中或嵌入於交聯劑中。在一實施例中,光可裂解官能基為寡聚物或聚合物。在一實施例中,光可裂解官能基的重複單元的數目在2至500的範圍內。在一實施例中,無機聚合物為聚矽氧烷。在一實施例中,光可裂解官能基為聚碳酸酯或聚碸。在一實施例中,連結聚合物包括複數個不同的光可裂解官能基。
本揭示內容的另一實施例為一種製造半導體元件的方法,此方法包括在半導體基板上方形成第一層。第一層包括主聚合物,且第一層具有第一玻璃轉化溫度、第一密度及第一孔隙度。在第一層上方形成光阻層。使第一層的第一部分的第一玻璃轉化溫度降低至第二玻璃轉化溫度,使第一部分的第一密度降低至第二密度,或使第一部分的第一孔隙度增大至第二孔隙度。移除光阻層的第一部分。在移除光阻層的第一部分的步驟之後的光阻層的剩餘第二部分上覆於具有第二玻璃轉化溫度、第二密度或第二孔隙度的第一層的第一部分。在一實施例中,第一層的第一玻璃轉化溫度與第二轉化溫度之間的玻璃轉化溫度差異在1℃至150℃的範圍內。在一實施例中,第一層的第一密度與第二密度之間的密度差異在0.1 g/cm3 至1 g/cm3 的範圍內。在一實施例中,第一層的第一孔隙度與第二孔隙度之間的孔隙度差異在1%至50%的範圍內。在一實施例中,主聚合物為聚羥基苯乙烯或無機聚合物中的一或多者。在一實施例中,無機聚合物為聚矽氧烷。
先前內容概述幾個實施例或實例的特徵,使得熟習此項技術者可更好地理解本揭示內容的態樣。熟習此項技術者應瞭解,該些技術者可容易地使用本揭示內容作為用於設計或修改用於實現本文中介紹的實施例或實例的相同目的及/或達成本文中介紹的實施例或實例的相同優點的其他製程及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不背離本揭示內容的精神及範疇,且在不背離本揭示內容的精神及範疇的情況下,該些技術者可在此作出各種改變、取代及更改。
100:處理流程 S110,S120,S130,S140,S150,S160,S170:操作 10:基板 15:光阻層 20:底層 20a:未曝光部分 20b:曝光部分 30:光罩 35:不透明圖案 40:光罩基板 45, 97:輻射 50:曝光區域 52:未曝光區域 55, 55’, 55”:開口 57:顯影劑 60:待圖案化的層 62:分配器 65:反射光罩 70:低熱膨脹玻璃基板 75:反射多層 80:封蓋層 85:吸收體層 90:後導電層 95:極紫外線輻射
本揭示內容是在結合附圖閱讀時自以下詳細描述最佳地理解。要強調的是,根據產業中的標準作業,各種特徵未按比例繪製且僅用於說明目的。實際上,為論述清楚起見,各種特徵的尺寸可任意地增大或縮小。 第1圖繪示根據本揭示內容的實施例的製造半導體元件的處理流程。 第2圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第3A圖及第3B圖繪示根據本揭示內容的實施例的順序操作的處理階段。 第4圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第5圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第6圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第7A圖、第7B圖、第7C圖及第7D圖繪示根據本揭示內容的實施例的具有光可裂解官能基的聚合物。 第8A圖、第8B圖、第8C圖、第8D圖、第8E圖、第8F圖及第8G圖繪示根據本揭示內容的實施例的具有光可裂解官能基的聚合物。 第9圖繪示根據本揭示內容的實施例的底層組成物的組份。 第10圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第11A圖及第11B圖繪示根據本揭示內容的實施例的順序操作的處理階段。 第12圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第13圖繪示根據本揭示內容的一實施例的順序操作的處理階段。 第14圖繪示根據本揭示內容的一實施例的順序操作的處理階段。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理流程
S110,S120,S130,S140,S150,S160,S170:操作

Claims (20)

  1. 一種製造一半導體元件的方法,包含: 在一半導體基板上方形成一光阻底層, 其中該光阻底層包括具有一光可裂解官能基的一主聚合物; 在該光阻底層上方形成一光阻層; 選擇性地曝光該光阻層於光化輻射;及 顯影經選擇性曝光的該光阻層以形成一光阻圖案。
  2. 如請求項1所述之方法,其中選擇性地曝光該光阻劑於光化輻射使該光阻底層中的該光可裂解官能基裂解。
  3. 如請求項2所述之方法,其中選擇性地曝光該光阻劑於光化輻射調整該光阻底層的一玻璃轉化溫度、一密度或一孔隙度。
  4. 如請求項1所述之方法,其中該光可裂解官能基為一寡聚物或一聚合物。
  5. 如請求項4所述之方法,其中該光可裂解官能基的重複單元的一數目在2至500的範圍內。
  6. 如請求項1所述之方法,其中該些光可裂解官能基嵌入於該主聚合物的一主幹、該主聚合物的一側鏈或一交聯劑中。
  7. 如請求項1所述之方法,其中該光阻底層為一有機聚合物或一無機聚合物。
  8. 如請求項7所述之方法,其中該無機聚合物為聚矽氧烷。
  9. 如請求項1所述之方法,其中該光可裂解官能基為聚碳酸酯或聚碸。
  10. 一種製造一半導體元件的方法,包含: 在一半導體基板上方形成一光阻底層, 其中該光阻底層包括一聚合物; 在該光阻底層上方形成一光阻層; 選擇性地曝光該光阻層及該光阻底層於光化輻射; 降低選擇性地曝光於該光化輻射的該光阻底層的一部分的一玻璃轉化溫度,降低該部分的一密度,或增大該部分的一孔隙度;及 顯影經選擇性曝光的該光阻層以形成一圖案化的光阻層。
  11. 如請求項10所述之方法,其中該光阻底層的曝光部分與未曝光部分之間的一玻璃轉化溫度差異在1℃至150℃的範圍內。
  12. 如請求項10所述之方法,其中該光阻底層的曝光部分與未曝光部分之間的一密度差異在0.1 g/cm3 至1 g/cm3 的範圍內。
  13. 如請求項10所述之方法,其中該光阻底層的曝光部分與未曝光部分之間的一孔隙度差異在1%至50%的範圍內。
  14. 請求項10所述之方法,進一步包含在選擇性地曝光該光阻層及該光阻底層於光化輻射之後,且在顯影經選擇性曝光的該光阻層之前,在範圍在50℃至150℃內的一溫度下加熱該光阻層及該光阻底層。
  15. 一種組成物,包含: 一聚合物,包含: 一第一主聚合物鏈; 一第二主聚合物鏈;及 一光可裂解官能基, 其中該第一主聚合物鏈及該第二主聚合物鏈藉由一交聯劑連結, 該第一主聚合物鏈及該第二主聚合物鏈為聚羥基苯乙烯或一無機聚合物中的一或多者,及 該光可裂解官能基嵌入於該第一主聚合物鏈或該第二主聚合物鏈中、嵌入於該第一主聚合物鏈及該第二主聚合物鏈的一側鏈中或嵌入於該交聯劑中。
  16. 如請求項15所述之組成物,其中該光可裂解官能基為一寡聚物或一聚合物。
  17. 如請求項16所述之組成物,其中該光可裂解官能基的重複單元的一數目在2至500的範圍內。
  18. 如請求項16所述之組成物,其中該無機聚合物為聚矽氧烷。
  19. 如請求項16所述之組成物,其中該光可裂解官能基為聚碳酸酯或聚碸。
  20. 如請求項16所述之組成物,其中該連結聚合物包括複數個不同的光可裂解官能基。
TW109146838A 2019-12-31 2020-12-30 製造半導體元件的方法 TW202126706A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962956010P 2019-12-31 2019-12-31
US62/956,010 2019-12-31
US16/952,023 US20210200091A1 (en) 2019-12-31 2020-11-18 Underlayer composition and method of manufacturing a semiconductor device
US16/952,023 2020-11-18

Publications (1)

Publication Number Publication Date
TW202126706A true TW202126706A (zh) 2021-07-16

Family

ID=76546168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109146838A TW202126706A (zh) 2019-12-31 2020-12-30 製造半導體元件的方法

Country Status (3)

Country Link
US (2) US20210200091A1 (zh)
CN (1) CN113126424A (zh)
TW (1) TW202126706A (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5663036A (en) * 1994-12-13 1997-09-02 International Business Machines Corporation Microlithographic structure with an underlayer film comprising a thermolyzed azide
US20020095007A1 (en) * 1998-11-12 2002-07-18 Larock Richard C. Lewis acid-catalyzed polymerization of biological oils and resulting polymeric materials
US7139448B2 (en) * 2003-11-20 2006-11-21 Anvik Corporation Photonic-electronic circuit boards
US8404341B2 (en) * 2006-01-26 2013-03-26 Outlast Technologies, LLC Microcapsules and other containment structures for articles incorporating functional polymeric phase change materials
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7736837B2 (en) * 2007-02-20 2010-06-15 Az Electronic Materials Usa Corp. Antireflective coating composition based on silicon polymer
US8877430B2 (en) * 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US20120122029A1 (en) * 2010-11-11 2012-05-17 Takanori Kudo Underlayer Developable Coating Compositions and Processes Thereof
JP5710546B2 (ja) * 2012-04-27 2015-04-30 信越化学工業株式会社 パターン形成方法
WO2014196386A1 (ja) * 2013-06-04 2014-12-11 信越化学工業株式会社 シリコーンコーティング組成物及び被覆物品
US20150203974A1 (en) * 2014-01-22 2015-07-23 The California Institute Of Technology Catalytic platform for co2 reduction
US9768022B2 (en) * 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
WO2017155474A1 (en) * 2016-03-10 2017-09-14 Agency For Science, Technology And Research A polysiloxane hydrophobic coating material
US20210364922A1 (en) * 2020-05-22 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Underlayer composition and method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
US20210200091A1 (en) 2021-07-01
US20230393478A1 (en) 2023-12-07
CN113126424A (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
KR20200040671A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
US20230393464A1 (en) Photoresist composition and method of forming photoresist pattern
TWI757987B (zh) 光阻劑組成物、製造半導體裝置的方法、以及形成光阻劑圖案的方法
TW202126710A (zh) 光阻劑組成物和製造半導體元件的方法
TW202126706A (zh) 製造半導體元件的方法
TWI790553B (zh) 光阻劑組成物與製造半導體裝置的方法
TWI792437B (zh) 製造半導體裝置的方法
TWI772001B (zh) 樹脂、光阻組成物和半導體裝置的製造方法
TWI815097B (zh) 光阻劑組成物與製造半導體裝置的方法
TWI818706B (zh) 製造半導體裝置的方法
US20230384675A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TWI774172B (zh) 製造半導體裝置的方法
US20240118618A1 (en) Method of manufacturing a semiconductor device
US20240096623A1 (en) Method of manufacturing a semiconductor device
TW202309995A (zh) 製造半導體裝置的方法
TW202414593A (zh) 光阻組合物及半導體裝置的製造方法
KR20210157347A (ko) 포토레지스트 조성물 및 포토레지스트 패턴 형성 방법
TW202411780A (zh) 製造半導體裝置的方法及光阻劑組成物
CN117420728A (zh) 制造半导体装置的方法以及光阻剂组成分
TW202136327A (zh) 製造半導體裝置的方法
CN117423609A (zh) 光阻组合物及半导体装置的制造方法
CN113126425A (zh) 形成光致抗蚀剂图案的方法
CN117352374A (zh) 半导体器件的制造方法