TW202109620A - 半導體裝置的形成方法 - Google Patents
半導體裝置的形成方法 Download PDFInfo
- Publication number
- TW202109620A TW202109620A TW109123651A TW109123651A TW202109620A TW 202109620 A TW202109620 A TW 202109620A TW 109123651 A TW109123651 A TW 109123651A TW 109123651 A TW109123651 A TW 109123651A TW 202109620 A TW202109620 A TW 202109620A
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- layer
- metal
- hard mask
- patterned hard
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 61
- 239000004065 semiconductor Substances 0.000 title claims abstract description 14
- 229910052751 metal Inorganic materials 0.000 claims abstract description 144
- 239000002184 metal Substances 0.000 claims abstract description 144
- 238000000151 deposition Methods 0.000 claims abstract description 14
- 239000010410 layer Substances 0.000 description 288
- 238000005530 etching Methods 0.000 description 31
- 238000001020 plasma etching Methods 0.000 description 19
- 238000004519 manufacturing process Methods 0.000 description 18
- 230000008569 process Effects 0.000 description 14
- 229920002120 photoresistant polymer Polymers 0.000 description 13
- 239000003989 dielectric material Substances 0.000 description 11
- 229910052814 silicon oxide Inorganic materials 0.000 description 9
- 229910002091 carbon monoxide Inorganic materials 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 229910044991 metal oxide Inorganic materials 0.000 description 7
- 150000004706 metal oxides Chemical class 0.000 description 7
- 239000007789 gas Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 4
- 230000003071 parasitic effect Effects 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 229910000449 hafnium oxide Inorganic materials 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- ZARVOZCHNMQIBL-UHFFFAOYSA-N oxygen(2-) titanium(4+) zirconium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Zr+4] ZARVOZCHNMQIBL-UHFFFAOYSA-N 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- AIRCTMFFNKZQPN-UHFFFAOYSA-N AlO Inorganic materials [Al]=O AIRCTMFFNKZQPN-UHFFFAOYSA-N 0.000 description 1
- 229910017109 AlON Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004158 TaO Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 239000011787 zinc oxide Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
提供半導體裝置及其形成方法。根據本發明實施例, 一種半導體裝置的形成方法包括:提供工件,包括:位於第一介電層中的一金屬部件、位於此金屬部件上的蝕刻停止層、位於此蝕刻停止層上的第二介電層、位於此第二介電層上的第三介電層、具有溝槽的圖案化硬遮罩。此方法更包括:形成導孔開口(via opening)穿過此圖案化硬遮罩中的此溝槽、此第二介電層、此第三介電層以及此蝕刻停止層,以露出此金屬部件,沉積金屬層於此溝槽及此導孔開口中,以分別形成金屬線及金屬接觸導孔,且沉積此金屬層於此工件上,移除位於此金屬線及此金屬接觸導孔之間的此圖案化硬遮罩,以及沉積第四介電層於此金屬線及此金屬接觸導孔之間。
Description
本發明實施例是關於半導體裝置及其形成方法,特別是關於半導體裝置的互連結構及其形成方法。
半導體積體電路產業經歷了指數型的成長。積體電路材料及設計上的技術進步已產生了數個世代的積體電路,其中每一世代皆比前一代具有更小且更複雜的電路。積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。
此微縮化也增加了積體電路製程及生產的複雜性,而為了實現前述的技術進步,在積體電路製程及生產方面也要有類似的進展。舉例而言,隨著持續縮小的積體電路部件尺寸,多層互連(multilayer interconnect ,MLI)部件變得更為緊密,數個多層互連部件的接觸件顯示出增加的接觸電阻並阻礙電流,帶來性能、產率、以及成本方面的挑戰。在所有多層互連層中,較靠近主動裝置的第一及/或第二多層互連層具有最小的線寬。已觀察到在第一/第二多層互連層中形成導孔所造成的邊角圓化(edge rounding)及低介電常數介電質損傷可能會導致短路或增加寄生電容。因此,雖然現有的接觸件已大致合乎需求,但並非在所有方面都令人滿意。
本發明實施例提供半導體裝置的形成方法。此方法包括:提供工件,包括:位於第一介電層中的一金屬部件、位於此金屬部件上的蝕刻停止層、位於此蝕刻停止層上的第二介電層、位於此第二介電層上的第三介電層、具有溝槽的圖案化硬遮罩;形成導孔開口(via opening)穿過此圖案化硬遮罩中的此溝槽、此第二介電層、此第三介電層以及此蝕刻停止層,以露出此金屬部件;沉積一金屬層於此溝槽及此導孔開口中,以分別形成金屬線及金屬接觸導孔,且沉積此金屬層於此工件上;移除位於此金屬線及此金屬接觸導孔之間的此圖案化硬遮罩;以及沉積第四介電層於此金屬線及此金屬接觸導孔之間。
本發明的另一實施例中,提供半導體裝置的形成方法。此方法包括:提供工件,包括:位於第一介電層中的金屬部件、位於此金屬部件上的蝕刻停止層、位於此蝕刻停止層上的第二介電層、第三介電層、具有溝槽的圖案化硬遮罩;形成導孔開口穿過此圖案化硬遮罩中的此溝槽、此第二介電層、此第三介電層以及此蝕刻停止層,以露出此金屬部件;以及沉積金屬層於此圖案化硬遮罩上及此導孔開口中,使此金屬層與此金屬部件電性連接。
本發明的又一實施例中,提供一種半導體裝置。此裝置包括:金屬部件,設置於第一介電層中;蝕刻停止層,位於此金屬部件上;第二介電層,位於此蝕刻停止層上;金屬線,位於此第二介電層上;金屬接觸導孔,鄰近此金屬線; 以及第三介電層,位於此金屬線及此第二介電層之間,其中此金屬接觸導孔延伸穿過此第二介電層、此第三介電層及此蝕刻停止層,並與此金屬部件電性接觸。
本發明實施例大體上是關於積體電路裝置,特別是關於積體電路的互連結構。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。
此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。並且,在隨後的本發明實施例中,形成一部件於另一部件上、此部件連接及/或耦合至另一部件可能包含形成這些部件直接接觸的實施例,也可能包含形成額外的部件***這些部件之間,使得這些部件可能不直接接觸的實施例。再者,空間相對用語,例如「較低的」、「較高的」、「水平」、「垂直」、「之上」、「上方」、「之下」、「下方」、「向上」、「向下」、「頂部」、「底部」等及其衍生的用語(例如「水平地」、「向下地」、「向上地」等)用於簡化本發明實施例的一些部件與另一些部件的關係。空間相對用語用於涵蓋包含部件之裝置的不同取向。
製造積體電路的製程流程通常分為三類:前段(front-end-of-line ,FEOL)、中段(middle-end-of-line ,MEOL)、及後段(back-end-of-line ,BEOL)。一般而言,前段包含與製造積體電路裝置相關的製程,例如電晶體。舉例而言,前段製程可包含形成隔離部件、閘極結構、以及源極和汲極部件(通常稱為源極/汲極部件)。中段通常包含與製造積體電路裝置的導電部件(或導電區域)之接觸件相關的製程,例如閘極結構及/或源極/汲極部件的接觸件。後段通常包含與製造多層互連部件相關的製程,多層互連部件將前段和中段所製造的積體電路部件互連(此處分別稱為前段及中段部件、或前段及中段結構),由此使積體電路裝置能夠運作。
本發明實施例大體上關於後段製程,係針對製造多層互連結構或互連結構,其用於平面積體電路裝置及/或非平面積體電路裝置(例如鰭式場效電晶體(FinFET))。多層互連結構可包括複數個導電層。依慣用的製程,當要形成金屬線和金屬接觸導孔時,先在低介電常數的介電材料層中蝕刻溝槽和導孔開口,然後沉積金屬來填充溝槽和導孔開口,以形成金屬線和接觸導孔。這些慣用的方法雖然是形成金屬線和金屬接觸導孔的可行解決方案,但仍面臨到挑戰。舉例而言,被以乾蝕刻劑和電漿蝕刻之後,低介電常數介電層可能失去其低介電常數特性(low-k quality)。另外,因為在刻蝕導孔開口時,溝槽底部表面未受到任何刻蝕停止層(或任何具有不同刻蝕特性的層)的保護,所以在導孔開口之間可能會形成邊角圓化,而導致寄生電容增加或甚至短路。此處揭露的方法形成互連結構,其中金屬線和金屬接觸導孔被未蝕刻的低介電常數介電材料分隔,且不同的低介電常數介電層允許選擇性的蝕刻以避免邊角圓化。本發明的不同實施例可具有不同的優點,且並非任何實施例都需要特定的優點。
第1圖是根據本發明實施例的各種面向,繪示出製造積體電路裝置的互連結構之方法10的流程圖。方法10包括方框12、14、16、18、20、22、24、26、28和30。可在方法10之前、期間、及之後提供額外的操作,且在方法10的其他實施例中,可將所述的一些操作替換、刪除、或調動。
配合第2-10、11A、及11B圖,可更好地描述第1圖中方法10的多個方框。第2-10、11A、及11B圖是根據本發明實施例的各種面向,繪示出積體電路裝置的工件200在如第1圖所示的方法10中之各種製造階段的局部剖面示意圖。工件200可包含在微處理器、記憶體、及/或其他積體電路裝置中。一些實施例中,工件200為一部分的積體電路晶片、系統晶片(SoC)、或前述之部分,其包括各種被動及主動微電子裝置,例如:電阻器、電容器、電感器、二極體、p型場效電晶體(PFET)、n型場效電晶體(NFET)、金屬氧化物半導體場效應電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙載子接面電晶體(BJT)、橫向擴散金屬氧化物半導體(LDMOS)電晶體、高壓電晶體、高頻電晶體、其他適合的元件、或前述之組合。電晶體可為平面電晶體或非平面電晶體,例如鰭式場效電晶體(FinFET)或全繞式閘極(gate-all-around,GAA)電晶體。為使本發明實施例的概念簡明易懂,已簡化了第2-10、11A、及11B圖。在工件200的其他實施例中,可在工件200加入額外的部件,且以下所述的一些部件可被替換、修改、或刪除。
參照第1及2圖。方法10起始於方框12,提供工件200。工件200包括埋設於介電層202中的導電部件204上方的蝕刻停止層(etch stop layer,ESL)206、蝕刻停止層206上方的第一介電層208、第一介電層208上方的第二介電層210、以及第二介電層210上方的的圖案化硬遮罩215。在一些示例中,導電部件204可為源極/汲極接觸件、閘極接觸件、或電性耦合至源極/汲極接觸件或閘極接觸件的導電部件。在第2圖所示的一些實施例中,圖案化硬遮罩215可包括第一硬遮罩層212和第二硬遮罩層214,此第二硬遮罩層214的組成與第一硬遮罩層212的組成不同。在一些實施例中,導電部件204可包括金屬,例如: Cu、Co、Ru、Mo、Cr、W、Mn、Rh、Ir、Ni、Pd、Pt、Ag、Au、Al、及前述之組合。介電層202可包括氧化矽或其他合適的介電材料。在一些實施例中,蝕刻停止層206可包括與介電層202不同的介電材料,且形成蝕刻停止層的介電材料可包括:SiC、SiO、SiOC、SiN、SiCN、SiOCN、AlON、AlO、TiO、TaO、氧化釔(YO)、其他金屬氧化物、或前述之組合。第一介電層208和第二介電層210可由SiC、SiO、SiOC、SiN、SiCN、SiON、SiOCN來形成。應注意的是,為了簡潔和便於描述,省略了本發明實施例中所描述的所有化學式的化學計量比。也應注意的是,儘管將某些材料稱為化合物,但也可指摻雜材料。舉例而言,SiOC可指碳摻雜的氧化矽、SiCN可指碳摻雜的氮化矽、且SiOCN可指碳摻雜及氮摻雜的氧化矽。
根據本發明的一些實施例,儘管用於蝕刻停止層206、第一介電層208、和第二介電層210的介電材料之選擇重疊,但為其選擇的介電材料可使其在蝕刻製程時具有不同的蝕刻選擇性。在一些示例中,蝕刻停止層206可由碳摻雜或氮摻雜的氧化矽形成,且第一介電層208可由氧化矽形成。在這些示例中,當前述兩者都經受蝕刻時,蝕刻停止層206可比第一介電層208經歷較慢的蝕刻速率。此蝕刻速率差異或選擇性使等向性蝕刻(例如乾蝕刻)得以蝕刻穿過第一介電層208並停止於蝕刻停止層206之上或之中。在其他示例中,第二介電層210可由碳摻雜或氮摻雜的氧化矽形成,且第一介電層208可由氧化矽形成。在這些示例中,當前述兩者都經受蝕刻時,第二介電層210可比第一介電層208經歷較慢的蝕刻速率。此蝕刻速率差異或選擇性使第二介電層210下方的第一介電層208受到第二介電層210的保護,且防止第一介電層208的邊緣被蝕刻而形成圓化邊角(rounded edge)(亦即圓邊或圓肩)。在一些其他示例中,被選用於蝕刻停止層206、第一介電層208、和第二介電層210的材料可使第一介電層208和第二介電層210被選擇性地乾蝕刻,而實質上未蝕刻到蝕刻停止層206。在這些示例中,可使用濕蝕刻有效地移除蝕刻停止層206。
在一些實施例中,圖案化硬遮罩215可以包括氮化鈦(TiN)、氧化鈦(TiO)、鎢、碳摻雜的鎢或碳化鎢(WC)、氧化鉿(HfO)、氧化鋯(ZrO)、氧化鋅(ZnO)、氧化鈦鋯(zirconium titanium oxide ,ZrTiO)、其它金屬氮化物、其它金屬氧化物,或前述之組合。在第2圖所示的實施例中,圖案化硬遮罩215可包括不只一層,例如可包括第一硬遮罩層212和第二硬遮罩層214。在一些示例中,第一硬遮罩層212的組成可與第二硬遮罩層214不同。應注意的是,由於圖案化硬遮罩215將被移除而不存在於最終的互連結構中,因此圖案化硬遮罩215可由導電材料形成,例如金屬或金屬氮化物。在一些實施例中,可使用任何非導電性的硬遮罩材料來形成蝕刻停止層206。舉例而言,蝕刻停止層206也可由氧化鈦、氧化鉿、氧化鋯、氧化鋅、氧化鈦鋯、或其他金屬氧化物來形成。在一些實施例中,圖案化硬遮罩215的形成可透過先沉積硬遮罩層於第二介電層210上,然後圖案化所沉積的硬遮罩層。在一些實施例中,使用光微影來執行圖案化。舉例而言,在沉積的硬遮罩層上方形成光阻(或多層光阻),並將光阻暴露於穿透遮罩或從遮罩反射的光源。曝光的光阻經歷化學變化,且可透過顯影液將其移除。將所得到的光阻進行圖案化並可將其作為蝕刻遮罩,用以圖案化所沉積的硬遮罩層。
蝕刻停止層206、第一介電層208、第二介電層210、和圖案硬遮罩可使用原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、或旋轉塗佈,在約150℃至約400℃之間的溫度下形成。在一些示例中,可將硬遮罩層形成為約3nm至約50nm之間的厚度,可將第一介電層208和第二介電層210形成為約3nm至約80nm之間的厚度,且可將蝕刻停止層206形成為約1nm至約100nm之間的厚度。
參照第1和3圖,方法10進行至方框14,在圖案化硬遮罩215上沉積光阻層217。光阻層217可為單層或三層。在第3圖所繪示的實施例中,光阻層217為三層,包括底層216、中間層218、和感光層220。在一些示例中,底層216可為不含矽的富碳聚合物材料(carbon-rich polymeric material)。中間層218可包括設計為對底層216提供蝕刻選擇性的含矽層。感光層220對輻射敏感,且當暴露於光源時會經歷化學反應,舉例而言,前述光源包括:深紫外光(deep ultraviolet ,DUV)光源、極紫外光(extreme ultraviolet ,EUV)光源、以及準分子雷射(excimer laser)如ArF雷射或KrF雷射。
參照第1和4圖,方法10進行到方框16,對光阻層217進行圖案化。在一些實施例中,感光層220暴露於通過遮罩的輻射或從遮罩反射的輻射。在一些實施例中,將感光層220在曝光之前預烘烤(pre-baked)(或軟烘烤),且在曝光之後進行後烘烤(post-baked)。在透過顯影劑將感光層220顯影之後,對感光層220進行圖案化以形成圖案化感光層220。然後使用反應性離子蝕刻(RIE),以例如CHF3
的氟化氣體,以及圖案化感光層220作為蝕刻遮罩,對中間層218進行蝕刻。在圖案化中間層218後,可使用反應性離子蝕刻,以例如氧氣的含氧氣體,以及圖案化感光層220和圖案化中間層218作為蝕刻遮罩,對底層216進行蝕刻。圖案化底層216之後,在方框16中對光阻層217進行圖案化。
參照第1和5圖,方法10行進至方框18,形成導孔開口222,其穿過圖案化硬遮罩215和圖案化光阻層217,直到到達蝕刻停止層206。在一些實施例中,導孔開口222延伸穿過第一介電層208和第二介電層210。一些實施例中,導孔開口222的形成是使用反應性離子蝕刻,其具有蝕刻氣體的感應耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP),舉例而言,前述蝕刻氣體包括:CH4
、CH3
F、CH2
F2
、CHF3
、C4
F8
、C4
F6
、CF4
、H2
、HBr、CO、CO2
、O2
、BCl3
、Cl2
、N3
、He、Ne和Ar。在一些實施例中,方框18的反應性離子蝕刻是在壓力約0.2mTorr至約120mTorr之間進行。在一些示例中,方框18的反應性離子蝕刻是在溫度約0℃至約100℃之間執行。在一些實施例中,以約50W至約3000W的功率以及約0伏特至約1200伏特的偏壓來執行方框18的反應性離子蝕刻。在第5圖所示的實施例中,可在方框18結束時移除感光層220和中間層218。如上所述,由於方框18的蝕刻對第一介電層208和第二介電層和210具有選擇性,因此蝕刻停止層206可實質上未受到蝕刻。
參照第1和6圖,方法10進行至方框20,將導孔222延伸穿過蝕刻停止層206以露出導電部件204,且移除圖案化光阻層217的底層216。在一些實施例中,可以使用具有感應耦合電漿(ICP)、電容耦合電漿(CCP)或遠端電漿的反應性離子蝕刻及/或濕蝕刻來蝕刻在方框18結束時暴露於導孔開口222中的蝕刻停止層206,舉例而言,產生前述電漿所使用的蝕刻氣體包括:CH4
、CH3
F、CH2
F2
、CHF3
、C4
F8
、C4
F6
、CF4
、H2
、HBr、CO、CO2
、O2
、BCl3
、Cl2
、N3
、He、Ne和Ar。在一些實施例中,方框20的反應性離子蝕刻在壓力約0.2mTorr至約120mTorr之間進行。在一些示例中,方框20的反應性離子蝕刻在溫度約0℃至約100℃之間執行。在一些實施例中,以約50W至約3000W的功率以及約0伏特至約1200伏特之間的偏壓來執行方框20的反應性離子蝕刻。在底層216不隨蝕刻停止層206之蝕刻而一起被移除的一些示例中,可透過例如灰化來移除底層216。在移除底層216後,將溝槽224露出。在一些實施方式中,所選擇的蝕刻停止層206、圖案化硬遮罩215的材料以及方框20的反應性離子蝕刻可使反應性離子蝕刻製程得以選擇性地移除暴露於導孔開口222中的蝕刻停止層206,而實質上未蝕刻圖案化硬遮罩215。在那些實施例中,於方框20的操作後可保持圖案化硬遮罩215的形狀和間距,以確保金屬線和金屬接觸導孔的形狀和間距。
參照第1和7圖,方法10進行至方框22,沉積金屬226於工件200上方,其包括沉積於導孔開口222和溝槽224內。在一些實施例中,金屬226可包括:Ta、TaN、TiN、Cu、Co、Ru、Mo、Ir、或W。在一些實施例中,可使用物理氣相沉積、化學氣相沉積、或原子層沉積,在溫度約150℃至約400℃之間沉積金屬226。在一些實施例中,可將金屬226形成為約1nm至約100nm的厚度。在一些示例中,為了保護金屬226免於氧化,可以在工件200上方形成阻障層,其包括形成於溝槽224和導孔開口222的側壁上。可使用原子層沉積或化學氣相沉積來形成阻障層。在這些示例中,可由金屬(例如:W、Al、Ta、Ti、Ni、Cu、Co、其他合適的金屬、或前述之組合)、金屬氧化物、金屬氮化物(例如:TiN和Ti)、或前述之組合來形成阻障層。
參照第1和8圖,方法10進行至方框24,將工件200平坦化以露出圖案化硬遮罩215的頂表面。在一些實施例中,透過合適的平坦化技術(例如化學機械研磨(CMP))將包含沉積的金屬226之工件200平坦化,直到露出圖案化硬遮罩215。在一些示例中,為了形成平坦的表面,在方框24中也將圖案化硬遮罩215的頂表面平坦化。
參照第1、9和10圖,方法10進行至方框26,將圖案化硬遮罩215移除。可透過乾蝕刻或濕蝕刻來移除圖案化硬遮罩215。在透過乾蝕刻移除圖案化硬遮罩215的實施例中,可使用具有感應耦合電漿、電容耦合電漿或遠端電漿的反應性離子蝕刻來蝕刻圖案化硬遮罩215,舉例而言,產生前述電漿所使用的蝕刻氣體包括:CH4
、CH3
F、CH2
F2
、CHF3
、C4
F8
、C4
F6
、CF4
、H2
、HBr、CO、CO2
、O2
、BCl3
、Cl2
、N3
、He、Ne和Ar。在一些實施例中,方框26的反應性離子蝕刻在壓力約0.2mTorr至約120mTorr之間進行。在一些示例中,方框26的反應性離子蝕刻在溫度約0℃至約100℃之間執行。在一些實施例中,以約50W至約3000W的功率以及約0伏特至約1200伏特之間的偏壓來執行方框26的反應性離子蝕刻。在一些實施例中,位於圖案化硬遮罩215下方的部分第二介電層210可與圖案化硬遮罩215一起被移除。在一些其他的實施例中,位於圖案化硬遮罩215下方的部分第二介電層210可不與圖案化硬遮罩215一起被移除,而可執行另外的反應性離子蝕刻製程將其移除。在其他實施例中,即使位於圖案化硬遮罩215下方的部分第二介電層210未與圖案化硬遮罩215一起移除,也不會將其移除。如第10圖所繪示,在移除位於圖案化硬遮罩215下方的部分第二介電層210的實施例中,第一介電層208暴露於金屬接觸導孔228與金屬線230之間。在第10圖所示的那些實施例中,位於金屬線230下方的部分第二介電層210未被移除。
參照第1、11A及11B圖,方法10進行至方框28,沉積第三介電層232於工件200上,其包括沉積於金屬接觸導孔228和金屬線230之間。在一些實施例中,第三介電層232包括低介電常數材料,例如:SiC、SiO、SiOC、SiN、SiCN、SiON、SiOCN、或前述之組合。應注意的是,因為第三介電層232未遭受電漿或蝕刻劑蝕刻,所以第三介電層232的低介電常數特性未被蝕刻製程中的電漿或氣態蝕刻劑摻雜(adulterate)。在慣用的製程中,使用RIE技術將一或多個低介電常數的介電層蝕刻,以形成用於金屬線和金屬接觸導孔的導孔開口和溝槽。在那些慣用的製程中,前述一或多個低介電常數的介電層之低介電常數可能在蝕刻期間劣化。舉例而言,蝕刻劑可與一或多個低介電常數的介電層反應,並在一或多個低介電常數的介電質之側壁和朝頂部的表面上形成非低介電常數的化合物或摻雜區,前述蝕刻劑可包括:CH4
、CH3
F、CH2
F2
、CHF3
、C4
F8
、C4
F6
、CF4
、H2
、HBr、CO、CO2
、O2
、BCl3
、Cl2
、N3
、He、Ne和Ar。如第11圖所示,透過重新沉積第三介電層232而未進行蝕刻,本發明實施例的方法可形成具有良好低介電常數的介電材料之互連結構於金屬線/金屬接觸導孔之間。因此,本發明實施例之方法可形成具有減小的寄生電容的互連結構。
參照第11B圖,第11B圖是第11A圖的工件200沿不同方向的剖面示意圖。第11A圖類似第2-10圖,是工件200沿X方向的剖面示意圖,而第11B圖是工件200沿垂直於X方向之Y方向的剖面示意圖。如第11B圖所示,在第11A圖中繪示的金屬接觸導孔228包括延伸至金屬線230中的一部分,且金屬接觸導孔228還包括了延伸穿過第二介電層210、第一介電層208、蝕刻停止層206並與導電部件204接觸的一部分。當導孔開口222在方框18中形成時,不只是蝕刻穿過第一介電層208,還穿過了第二介電層210。透過選擇不同的摻雜劑,以賦予第一介電層208和第二介電層210不同的蝕刻選擇性,可使第二介電層210的蝕刻比第一介電層208的蝕刻更慢,並使其作為第一介電層208的蝕刻停止層,以防止或減緩第一介電層208的邊緣變圓。
參照第1圖,方法10進行至方框30,執行進一步製程。舉例而言,此類進一步製程可包括形成互連結構的其他層,其中可包含:形成更多介電層於工件200上、蝕刻溝槽和導孔開口於此些介電層中、沉積金屬層於此些溝槽和導孔開口中、且執行化學機械研磨製程以移除多餘的金屬層。
在一實施例中,方法10以第1圖指定的順序從方框12進行至方框30。方法10的此實施例包括幾個特徵。舉例而言,在方框26的操作之前執行方框22的操作。亦即在移除圖案化硬遮罩215之前沉積金屬226。因為圖案化硬遮罩215可能被方框26的金屬226覆蓋,所以必須將工件200(包括沉積於工件200上的金屬226)在圖案化硬遮罩215可被移除之前的方框24中平坦化。另一示例中,在方框22的沉積金屬226於工件200上以及在方框26的移除位於金屬線與金屬接觸導孔之間的圖案化硬遮罩215之後,執行方框28的操作。亦即在形成金屬線和金屬接觸導孔之後,沉積低介電常數的第三介電層232於金屬線和金屬接觸導孔之間。在一些其他實施例中,只要方法10包括上述特徵中的至少一個,其中的一些操作可被替換、刪除或調動。
本發明實施例的方法,例如方法10,可形成互連結構於第11A和11B圖所示的工件200中。將金屬線(例如金屬線230)設置於第一介電層208上,且將第二介電層210設置於第一介電層208和金屬線230之間。除了延伸至金屬線230與金屬接觸導孔228之間,第三介電層232還延伸至第二介電層210與金屬接觸導孔228之間。在形成金屬線230和金屬接觸導孔228之後沉積第三介電層232,且第三介電層232的低介電常數特性未被蝕刻摻雜(adulterated)(例如與氣態蝕刻劑和電漿起反應)。由於使用第二介電層210作為第一介電層208的蝕刻停止層,因此工件200中的互連結構在鄰近金屬接觸導孔228的第一介電層208中幾乎沒有圓邊,或是沒有圓邊。
本發明實施例提供了許多優點。本發明實施例提供了如方法10的一些方法,可形成具有未受摻雜/未受蝕刻的低介電常數介電層的互連結構,以減少寄生電容。此外,透過具有多個低介電常數介電層並使一低介電常數介電層作為另一下方的低介電常數介電層的蝕刻停止層,本發明實施例的方法防止鄰近金屬接觸導孔的低介電常數介電層之邊角圓化,因此增加了製程容許範圍(process window)和產率(yield)。
本發明實施例提供了許多不同的實施例。在一個實施例中,提供了一種方法。此方法包括:提供工件,其包括::位於第一介電層中的一金屬部件、位於此金屬部件上的蝕刻停止層、位於此蝕刻停止層上的第二介電層、位於此第二介電層上的第三介電層、具有溝槽的圖案化硬遮罩;形成導孔開口穿過此圖案化硬遮罩中的此溝槽、此第二介電層、此第三介電層以及此蝕刻停止層,以露出此金屬部件;沉積一金屬層於此溝槽及此導孔開口中,以分別形成金屬線及金屬接觸導孔,且沉積此金屬層於此工件上;移除位於此金屬線及此金屬接觸導孔之間的此圖案化硬遮罩;以及沉積第四介電層於此金屬線及此金屬接觸導孔之間。
一些實施例中,此方法更包括:在移除圖案化硬遮罩之前,將沉積的金屬層平坦化以露出圖案化硬遮罩。一些實施例中,圖案化硬遮罩的移除是在沉積金屬層於圖案化硬遮罩上之後進行。一些實施例中,此方法更包括移除位於圖案化硬遮罩下方的第三介電層。一些實施例中,位於金屬線及金屬接觸導孔之間的第四介電層未受到蝕刻。一些實施例中,第二介電層與第三介電層不同,且第二介電層與蝕刻停止層不同。一些實施例中,圖案化硬遮罩的組成與蝕刻停止層不同。
在另一實施例中包括一種方法。此方法包括:提供工件,其包括:位於第一介電層中的金屬部件、位於此金屬部件上的蝕刻停止層、位於此蝕刻停止層上的第二介電層、第三介電層、具有溝槽的圖案化硬遮罩;形成導孔開口穿過此圖案化硬遮罩中的此溝槽、此第二介電層、此第三介電層以及此蝕刻停止層,以露出此金屬部件;以及沉積金屬層於此圖案化硬遮罩上及此導孔開口中,使此金屬層與此金屬部件電性連接。
一些實施例中,此方法更包括:將沉積的金屬層平坦化以露出圖案化硬遮罩;以及移除圖案化硬遮罩。一些實施例中,此方法,更包括:移除位於圖案化硬遮罩下方的第三介電層。一些實施例中,圖案化硬遮罩的移除是在沉積金屬層於圖案化硬遮罩上之後進行。一些實施例中,更包括沉積第四介電層於金屬層上。在那些實施例中,第四介電層與第二介電層接觸。一些示例中,第四介電層與位於金屬層下方的第三介電層接觸。一些實施例中,第二介電層與第三介電層不同,且第二介電層與蝕刻停止層不同。
又一實施例中,提供一種半導體裝置。此裝置包括:金屬部件,設置於第一介電層中;蝕刻停止層,位於此金屬部件上;第二介電層,位於此蝕刻停止層上;金屬線,位於此第二介電層上;金屬接觸導孔,鄰近此金屬線; 以及第三介電層,位於此金屬線及此第二介電層之間,其中此金屬接觸導孔延伸穿過此第二介電層、此第三介電層及此蝕刻停止層,並與此金屬部件電性接觸。
一些實施例中,第二介電層與第三介電層不同,且第二介電層與蝕刻停止層不同。一些實施例中,此半導體裝置更包括第四介電層,位於金屬線及金屬接觸導孔之間。一些實施例中,第四介電層包括低介電常數介電材料。一些實施例中,第四介電層與第二介電層及第三介電層不同。
以上概述數個實施例之特點,以便在本發明所屬技術領域中具有通常知識者可更好地了解本發明的各個方面。在本發明所屬技術領域中具有通常知識者,應理解其可輕易地利用本發明實為基礎,設計或修改其他製程及結構,以達到和此中介紹的實施例之相同的目的及/或優點。在本發明所屬技術領域中具有通常知識者,也應理解此類等效的結構並無背離本發明的精神與範圍,且其可於此作各種的改變、取代、和替換而不背離本發明的精神與範圍。
200:工件
202:介電層
204:導電部件
206:蝕刻停止層
208:第一介電層
210:第二介電層
212:第一硬遮罩層
214:第二硬遮罩層
215:圖案化硬遮罩
216:底層
217:光阻層
218:中間層
220:感光層
222:導孔開口
224:溝槽
226:金屬
228:金屬接觸導孔
230:金屬線
232:第三介電層
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。
第1圖是根據本發明實施例的各種面向,繪示出製造積體電路裝置之互連結構的方法之流程圖。
第2-10、11A、及11B圖是根據本發明實施例的各種面向,繪示出互連結構在如第1圖之方法的各種製造階段的局部剖面示意圖。
200:工件
202:介電層
204:導電部件
206:蝕刻停止層
208:第一介電層
210:第二介電層
226:金屬
228:金屬接觸導孔
230:金屬線
232:第三介電層
Claims (1)
- 一種半導體裝置的形成方法,包括: 提供一工件,包括:位於一第一介電層中的一金屬部件、位於該 金屬部件上的一蝕刻停止層、位於該蝕刻停止層上的一第二介電層、位於該第二介電層上的一第三介電層、具有一溝槽的一圖案化硬遮罩; 形成一導孔開口(via opening)穿過該圖案化硬遮罩中的該 溝槽、該第二介電層、該第三介電層以及該蝕刻停止層,以露出該金屬部件; 沉積一金屬層於該溝槽及該導孔開口中,以分別形成一金屬線及 一金屬接觸導孔,且沉積該金屬層於該工件上; 移除位於該金屬線及該金屬接觸導孔之間的該圖案化硬遮罩;以 及 沉積一第四介電層於該金屬線及該金屬接觸導孔之間。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/547,750 | 2019-08-22 | ||
US16/547,750 US11139236B2 (en) | 2019-08-22 | 2019-08-22 | Semiconductor devices and methods of forming the same |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202109620A true TW202109620A (zh) | 2021-03-01 |
Family
ID=74646908
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109123651A TW202109620A (zh) | 2019-08-22 | 2020-07-14 | 半導體裝置的形成方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US11139236B2 (zh) |
CN (1) | CN112420599A (zh) |
TW (1) | TW202109620A (zh) |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040084780A1 (en) * | 1998-07-07 | 2004-05-06 | Tri-Rung Yew | Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit |
TW200735274A (en) * | 2005-12-29 | 2007-09-16 | Koninkl Philips Electronics Nv | Reliability improvement of metal-interconnect structure by capping spacers |
US9029260B2 (en) | 2011-06-16 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gap filling method for dual damascene process |
US8779592B2 (en) | 2012-05-01 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-free interconnect structure with self-aligned metal line interconnections |
US9305839B2 (en) * | 2013-12-19 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Curing photo resist for improving etching selectivity |
US10211097B2 (en) * | 2015-12-30 | 2019-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
-
2019
- 2019-08-22 US US16/547,750 patent/US11139236B2/en active Active
-
2020
- 2020-07-13 CN CN202010668756.9A patent/CN112420599A/zh active Pending
- 2020-07-14 TW TW109123651A patent/TW202109620A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
US20210057334A1 (en) | 2021-02-25 |
US11139236B2 (en) | 2021-10-05 |
CN112420599A (zh) | 2021-02-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11651993B2 (en) | Etch stop layer for semiconductor devices | |
US11721544B2 (en) | Cut metal gate process for reducing transistor spacing | |
US11004734B2 (en) | Metal-based etch-stop layer | |
US10515945B2 (en) | Method and structure for semiconductor mid-end-of-year (MEOL) process | |
TWI692104B (zh) | 半導體裝置與其製作方法 | |
US10868003B2 (en) | Creating devices with multiple threshold voltages by cut-metal-gate process | |
TW202201697A (zh) | 半導體結構 | |
TW201926548A (zh) | 半導體結構的製造方法 | |
CN110875252B (zh) | 半导体器件和制造半导体器件的方法 | |
TW202109620A (zh) | 半導體裝置的形成方法 | |
CN107301948A (zh) | 一种用于金属cmp的集成工艺的方法 |