TW202109618A - 圖案化半導體裝置的方法 - Google Patents

圖案化半導體裝置的方法 Download PDF

Info

Publication number
TW202109618A
TW202109618A TW109108304A TW109108304A TW202109618A TW 202109618 A TW202109618 A TW 202109618A TW 109108304 A TW109108304 A TW 109108304A TW 109108304 A TW109108304 A TW 109108304A TW 202109618 A TW202109618 A TW 202109618A
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
photoresist
mask layer
opening
Prior art date
Application number
TW109108304A
Other languages
English (en)
Inventor
張競予
許仲豪
童思頻
陳濬凱
王仁宏
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109618A publication Critical patent/TW202109618A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

揭露一種在三層光阻中的圖案化的光阻層上方形成的硬遮罩以及一種使用此硬遮罩以圖案化目標層的方法。在一些實施例中,提供了一種方法包括在第一硬遮罩層上方沉積光阻層,圖案化光阻層以在光阻層中形成複數個開口,在光阻層上方沉積第二硬遮罩層,第二硬遮罩層填充複數個開口,第二硬遮罩層相對於第一硬遮罩層具有第一蝕刻選擇性,光阻層相對於第一硬遮罩層具有第二蝕刻選擇性,第一蝕刻選擇性大於第二蝕刻選擇性,平坦化第二硬遮罩層,去除光阻層,使用第二硬遮罩層作為遮罩蝕刻第一硬遮罩層。

Description

圖案化半導體裝置的方法
本揭露實施例係有關於一種圖案化的方法,特別係有關於一種圖案化半導體裝置的方法。
半導體裝置被使用在各種電子應用中,例如個人電腦、行動電話、數位相機以及其他電子設備。通常藉由以下方式製造半導體裝置:依次在半導體基板上方沉積材料的絕緣層或介電層、導電層、以及半導體層,並使用微影圖案化各種材料層以在其上形成電路構件以及元件。
半導體產業藉由不斷的減小最小特徵尺寸以繼續提高各種電子構件(例如,電晶體、二極體、電阻、電容等)的整合密度,這允許將更多的構件整合到給定區域中。然而,隨著最小特徵尺寸減小,出現了應解決的其他問題。
根據本揭露的一些實施例,提供一種圖案化半導體裝置的方法,包括在第一硬遮罩層上方沉積光阻層,圖案化光阻層以在光阻層中形成複數個開口,在光阻層上方沉積第二硬遮罩層,第二硬遮罩層填充複數個開口,第二硬遮罩層相對於第一硬遮罩層具有第一蝕刻選擇性,光阻層相對於第一硬遮罩層具有第二蝕刻選擇性,第一蝕刻選擇性大於第二蝕刻選擇性,平坦化第二硬遮罩層,去除光阻層,以及使用第二硬遮罩層作為遮罩以蝕刻第一硬遮罩層。
根據本揭露的一些實施例,提供一種圖案化半導體裝置的方法,包括圖案化光阻層以在光阻層中形成第一開口以及第二開口,在光阻層上方沉積硬遮罩,硬遮罩包括第一硬遮罩層以及第二硬遮罩層,第一硬遮罩層填充第一開口以及第二開口的第一部分,第二硬遮罩層填充第二開口的剩餘部分,平坦化硬遮罩,去除光阻層,以及使用硬遮罩以圖案化目標層。
根據本揭露的一些實施例,提供一種圖案化半導體裝置的方法,包括在膜堆疊上方沉積三層光阻,三層光阻包括底部抗反射塗佈(BARC)層、第一硬遮罩層以及光阻層,圖案化光阻層以在光阻層中形成複數個開口,其中圖案化光阻層包括將光阻層暴露於光源,在光阻層上方沉積第二硬遮罩層,其中第二硬遮罩層在小於200℃的溫度下沉積,平坦化第二硬遮罩層,在平坦化第二硬遮罩層之後,去除光阻層,以及使用第二硬遮罩層作為遮罩圖案化第一硬遮罩層、底部抗反射塗佈層以及膜堆疊。
以下的揭露提供各種許多不同的實施例或範例以實行本揭露之不同特徵。以下敘述各個構件以及排列方式的特定範例,以簡化本揭露。當然,這些僅為範例且非意圖作為限制。例如,若說明書敘述了第一特徵形成於第二特徵之上,即表示可包括上述第一特徵與上述第二特徵係直接接觸的實施例,亦可包括有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可未直接接觸的實施例。除此之外,在各種範例中,本揭露可能使用重複的參考符號及/或字母。這樣的重複係為了簡化以及清楚之目的,並不表示所討論的各種實施例及/或配置之間的關聯。
此外,空間相關用詞,如:「在…下方」、 「下方」、「較低的」、「上方」、「較高的」等等的類似用詞,可在這裡使用以便於描述圖式中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意圖涵蓋使用中或操作中的裝置之不同方位。設備可被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關用詞亦可依此相同解釋。
本揭露各個實施例提供了用於改進光阻的圖案化的製程。例如,可以形成包括底部抗反射塗佈(bottom anti-reflective coating, BARC)層、第一硬遮罩層以及光阻層的三層光阻。光阻層可以暴露於能量源(例如,極紫外(extreme ultraviolet, EUV)光源),並且進行顯影以在光阻層中形成開口。第二硬遮罩層可以藉由像是原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(chemical vapor deposition, CVD)、其組合等的製程形成在開口中以及光阻層的剩餘部分上方。然後可以將第二硬遮罩平坦化並且去除光阻層的剩餘部分。儘管下面討論的實施例針對單一圖案化以及單一蝕刻(single-patterning and single-etching, 1P1E)製程,但是所揭露的實施例的特徵可以用於自對準雙重圖案化(self-aligned double-patterning, SADP)製程、自對準四重圖案化(self-aligned quadruple-patterning,  SAQP)製程、以及其他任何圖案化製程。
相對於第一硬遮罩層,第二硬遮罩層可以由具有比光阻層更大的蝕刻選擇性的材料形成。與不包括形成在圖案化的光阻層上方的第二硬遮罩層的典型微影製程相比,使用第二硬遮罩層可以防止浮渣(scum)(例如,蝕刻光阻層之後殘留的多餘材料)以及線橋接(line bridging)(例如,由被蝕刻的光阻層的多餘材料所導致),並且有助於減小裝置特徵的臨界尺寸、減小線寬粗糙度、增加蝕刻窗口、以及在三層光阻下的目標層中產生較少的缺陷。因此,可以製造具有細間距且良率較高的半導體結構。
第1圖至第12圖示出了根據一些示範實施例的在半導體裝置100上的目標層104中形成特徵的中間階段的剖面圖。根據本揭露一些實施例,目標層104是其中將形成複數個圖案的層。在一些實施例中,半導體裝置100作為較大晶圓的一部分而被進行處理。在這樣的實施例中,在形成半導體裝置100的各種特徵(例如,主動裝置、內連線結構(interconnect structure)等)之後,可以在晶圓的切割道(scribe line)區域上施加單粒化(singulation)製程,以將個別的半導體晶粒與晶圓分離(也稱為單粒化)。
在一些實施例中,目標層104是金屬間介電(inter-metal dielectric, IMD)層。在這樣的實施例中,目標層104包括具有介電常數(k值)例如小於3.8、小於大約3.0、或小於大約2.5的低k值介電材料。在替代的實施例中,目標層103是包括k值高於3.8的高k值介電材料的金屬間介電層。如下所述,可以使用一些實施例中的製程在目標層104中圖案化開口,並且可以在開口中形成導線以及/或通孔。
在一些實施例中,目標層104是半導體基板。半導體基板可以由像是矽、矽鍺等的半導體材料形成。在一些實施例中,半導體基板是晶體半導體基板,像是晶體矽基板、晶體矽碳基板、晶體矽鍺基板、三五族(III-V)化合物半導體基板等。可以利用一些實施例中的製程以圖案化半導體基板,並且可以使用後續的製程步驟以在基板中形成淺溝槽隔離(shallow trench isolation, STI)區域。半導體鰭片可以從形成的淺溝槽隔離區域之間突出。可以在半導體鰭片中形成源極/汲極區域,並且可以在鰭片的通道區域上方形成閘極介電層以及電極層,從而形成像是鰭式場效電晶體(FinFET)的半導體裝置。
在一些實施例中,目標層104是被毯覆沉積(blanket deposited)的導電層,像是金屬層或多晶矽層。可以在目標層104施加一些實施例中的圖案化製程,以圖案化鰭式場效電晶體的金屬閘極(例如,在切割金屬閘極製程中)以及/或虛置閘極。藉由使用一些實施例中的製程以圖案化導電目標層104,可以減小相鄰閘極之間的間隔並且可以增加閘極密度。
在第1圖中,在半導體裝置100中形成包括目標層104的膜堆疊120。在一些實施例中,目標層104可以形成在半導體基板102上方。可以由半導體材料(像是摻雜或未摻雜的矽)、或絕緣體上半導體(semiconductor-on-insulator, SOI)基板的主動層形成半導體基板102。半導體基板102可以包括其他半導體材料、化合物半導體、合金半導體、其組合等。其他半導體材料像是鍺,化合物半導體包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦,合金半導體包括矽鍺(SiGe)、磷化鎵砷(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)以及/或磷化鎵銦砷(GaInAsP)。也可以使用其他基板,像是多層或梯度基板。可以在半導體基板102的主動表面內以及/或上形成像是電晶體、二極體、電容、電阻等的裝置。在目標層104是用於形成鰭式場效電晶體(FinFET)的半導體基板的其他實施例中,可以省略半導體基板102。
儘管第1圖示出了目標層104與半導體基板102實體接觸,但是可以在目標層104以及半導體基板102之間設置任意數量的中介層。這種中介層可以包括介電層(ILD)、其他金屬間介電層(IMD)、一個或多個中間層(例如蝕刻停止層,黏著層等)、其組合等。介電層(ILD)包括低k值介電層並且具有在低k值介電層中形成的接觸栓塞,其他金屬間介電層(IMD)具有在其中形成的導線以及/或導孔。例如,可以在目標層104的下方直接設置可選的蝕刻停止層。蝕刻停止層可以停止隨後在目標層104進行的蝕刻製程(例如,下面參考第12圖所述的蝕刻製程)。用於形成蝕刻停止層的材料以及製程可以取決於目標層104的材料。在一些實施例中,蝕刻停止層可以由氮化矽(silicon nitride)、氮氧化矽(SiON)、氮氧碳化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)、氮碳化矽(SiCx Ny )、氧化矽(SiOx )、其他介電質、其組合等形成,並且可以藉由電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)、低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)、物理氣相沉積(physical vapor deposition, PVD)等形成。
膜堆疊120更包括形成在目標層104上方的可選的介電層106。介電層106可以是抗反射塗佈層(ARC),在圖案化上覆在介電層106上方的光阻層期間,介電層106可以幫助上覆在介電層106上方的光阻層的曝光以及聚焦(如下所述)。在一些實施例中,介電層106可以由氮氧化矽、碳化矽,摻雜有氧(O)以及/或氮(N)的材料等所形成。在一些實施例中,介電層106實質上不含氮,並且可以由氧化物形成。在這樣的實施例中,介電層106也可以被稱為無氮抗反射塗佈層(nitrogen-free ARC, NFARC)。在一些實施例中,可以選擇介電層106的材料組成以防止反射。介電層106可以藉由電漿增強化學氣相沉積、高密度電漿(high-density plasma, HDP)沉積等形成。介電層106是可選的層,並且例如在可以相對於目標層104選擇性地蝕刻硬遮罩層108(以下描述)的實施例中可以排除介電層106。
膜堆疊120更包括形成在介電層106以及目標層104上方的硬遮罩層108。硬遮罩層108可以由包括金屬(例如,氮化鈦、鈦、氮化鉭、鉭,金屬摻雜的碳化物(例如碳化鎢)等)、半金屬(metalloid)(例如,氮化矽、氮化硼、碳化矽等)、氧化物(例如原四乙氧基矽烷(TEOS)等)、矽等所形成。在一些實施例中,可以決定硬遮罩層108的材料組成以提供下覆層(例如相對於介電層106以及/或目標層104)高蝕刻選擇性。硬遮罩層108可以由物理氣相沉積、射頻物理氣相沉積(radio frequency physical vapor deposition, RFPVD)、原子層沉積(ALD)等形成。在隨後的處理步驟中,使用一些實施例中的圖案化製程在硬遮罩層108上形成圖案。然後,硬遮罩層108作為用於蝕刻目標層104的蝕刻遮罩,其中,硬遮罩層108的圖案被轉移到目標層104。
膜堆疊120可以進一步包括形成在硬遮罩層108上方的介電層110。介電層110可以由氧化矽材料形成,像是硼磷矽酸鹽四乙氧基矽烷(BPTEOS)、未摻雜的四乙氧基矽烷(TEOS)氧化物等,並且可以藉由化學氣相沉積、原子層沉積、電漿增強原子層沉積、旋轉塗佈等形成。可以使用其他製程以及材料。在一些實施例中,介電層110還用作抗反射塗佈層。硬遮罩層108以及介電層110可以具有不同的材料組成,使得硬遮罩層108以及介電層110各自可以被選擇性地蝕刻。儘管第1圖示出介電層110設置在硬遮罩層108上方,但在其他實施例中,可以將介電層110設置在硬遮罩層108下方。
三層光阻122形成在介電層110上方的膜堆疊120上。三層光阻122包括底層112、位於底層112上方的中層114、以及位於中層114上方的上層116。底層112以及上層116可以由包括有機材料的光阻材料(例如,光敏材料)形成。上層116可以包括聚合物材料。在一些實施例中,底層112也可以是底部抗反射塗佈(BARC)層。中層114可以包括無機材料,無機材料可以是氮化物(像是氮化矽)、氮氧化物(像是氮氧化矽)、氧化物(像是氧化矽)等。可以由相對於上層116以及底層112具有高蝕刻選擇性的材料形成中層114。三層光阻122的各個層可以使用例如旋塗法、化學氣相沉積(CVD)、原子層沉積(ALD)、其組合等依序毯覆沉積。儘管在此討論了三層光阻122,但是在其他實施例中,光阻122可以是單層或雙層(例如,僅包括底層112以及上層116而沒有中層114)的光阻。所使用的光阻的類型(例如,單層、雙層或三層)可以取決於用於圖案化下覆在光阻112下方的膜堆疊120的各個層的微影製程。例如,在先進的極紫外光(EUV)微影製程中,可以使用單層或雙層光阻122。
隨後圖案化的開口(像是下面參考第2圖討論並且形成在上層116中的開口130)具有高度對寬度的高深寬比(high aspect ratio),而具有上述開口的光阻層可能易於發生光阻塌陷。為了避免光阻在上層116中塌陷,上層116的厚度可以盡可能地薄。例如,上層116可以具有小於大約600 Å(60nm)或從大約100 Å至大約600Å等的厚度。然而,薄的光阻減小了蝕刻窗口,而導致發生圖案橋接的風險。為了避免圖案橋接以及減小蝕刻窗口,可以在圖案化上層116之後在上層116上方形成硬遮罩(例如,下面參考第3圖至第5圖討論的硬遮罩132)。
在第2圖中,使用微影製程圖案化上層116。可以使用任何合適的微影製程圖案化上層116,以在上層116中形成開口130。作為圖案化上層116中的開口130的示例,可以在上層116上方設置光罩。然後,當光罩遮擋上層116的區域時,可以將上層116暴露於包括極紫外光(EUV)光源、紫外光( UV)光源、準分子雷射,像是氟化氪(KrF)準分子雷射發出的248nm光束、氟化氬(ArF)準分子雷射發出的193nm光束、或氟(F2 )準分子雷射發出的157nm光束等的輻射束。使用浸沒式(immersion)微影系統執行上層116的曝光以增加解析度並減小可達到的最小間距。可以執行烘烤或固化操作以硬化上層116,並且可以使用顯影劑來去除上層116的曝光或未曝光的部分,這取決於使用的​​是正光阻還是負光阻。開口130的間距P1 可以是大約80nm或更小、大約28nm或更小、或者甚至大約20nm或更小。開口130的其他間距P1 也是可以預期的。在一些實施例中,開口130可以具有高度對寬度從大約0.25至大約6的高寬比,像是大約3。開口130的寬度可以從大約10nm至大約40nm,像是約14nm。
在第3圖中,硬遮罩132沉積在開口130中以及上層116上方。可以使用任何合適的沉積製程沉積硬遮罩132,像是原子層沉積(ALD)、化學氣相沉積( CVD)等。可以藉由沉積腔室將硬遮罩132沉積在三層光阻122上方,使得不需要額外的沉積機台或腔室。硬遮罩132可以具有從大約120 Å到大約1000 Å的厚度,像是大約350 Å。
硬遮罩132可以是氧化鋁(AlOx )、氧化鈦(TiOx )、氧化鋯(ZrOx )、氧化鋅(ZnO)、氧化錫(SnOx )、氮化矽、碳化矽、碳氮化矽、其組合或其多層等。在藉由原子層沉積以沉積硬遮罩132的實施例中,可以使用第一前驅物氣體以及第二前驅物氣體沉積硬遮罩132。將第一前驅物氣體以及第二前驅物氣體引入反應腔室中,並依序以自限性(self-limiting)的方式一次與下覆材料的表面反應。結果,在使用原子層沉積以沉積硬遮罩132的實施例中,係以逐層(monolayer-by-monolayer)沉積的方式來沉積硬遮罩132。第一前驅物氣體可包括三甲基鋁(TMA, Al(CH3 )3 )、二乙基鋅(DEZ, Zn(C2 H5 )2 )、四(二甲基氨基)鈦(TDMAT, Ti[(CH3 )2 N]4 )、異丙醇鈦(TTIP, Ti [(CH3 )2 OCH]4 )、四氯化鈦(TiCl4 )、雙(叔丁基氨基)矽烷(BTBAS, [NH(C4 H9 )]2 SiH2 )、雙(二乙基氨基)矽烷(BDIS, SiH2 [N(C2 H5 )2 ]2 )、三(二甲基氨基)矽烷(3DMAS, SiH[N(CH3 )2 ]3 )、四(二甲基氨基)鋯(TDMAZ, Zr[N(CH3 )2 ]4 )、四氯化鋯(ZrCl4 )、四(二甲基氨基)錫(TDMASn, Sn[N(C2 H5 )4 ])等。第二前驅物氣體可以包括氧氣源(例如,水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、一氧化二氮(N2 O)等)、其他反應化合物(例如,氮(N2 )、氨(NH3 )等)、其組合等。
可以在小於大約200℃的溫度或從大約50℃至大約200℃的溫度等沉積硬遮罩132。在低溫(像是低於大約200℃沉積硬遮罩132可以限制下覆的上層116發生任何損壞或分解。
在第4圖中,執行平坦化製程以使硬遮罩132的頂表面與上層116的頂表面齊平。平坦化製程可以是化學機械研磨(chemical mechanical polish, CMP)、回蝕製程(etch-back process)、其組合等。儘管在第4圖中硬遮罩132的頂表面被示為與上層116的頂表面齊平,但是在一些實施例中(例如,使用回蝕製程等的實施例),硬遮罩132的頂表面可以設置在上層116的頂表面之上或之下。
在第5圖中,去除上層116,從而在硬遮罩132的各部分之間形成開口134。可以藉由使用可接受的灰化(ashing)或剝離(stripping)製程(例如氧電漿灰化)等去除上層116。在一些實施例中,可以藉由蝕刻製程去除上層116,像是各向異性(anisotropic)蝕刻製程、各向同性(isotropic)蝕刻製程等。例如,可以藉由濕式蝕刻製程、乾式蝕刻製程等去除上層116。可以由對硬遮罩132以及中層114具有高蝕刻選擇性的材料形成上層116。這樣,在不去除硬遮罩132以及中層114的情況下去除上層116。
在去除上層116之後,硬遮罩132的剩餘部分可以具有從大約20nm至大約80nm的間距P2 ,像是大約28nm。硬遮罩132的剩餘部分可以具有從大約10nm至大約40nm的寬度W1 ,像是大約14nm。硬遮罩132的剩餘部分可以具有從大約9nm到大約59nm的高度H1 ,像是大約33nm。
可以由對中層114的材料具有比上層116的材料對中層114的材料具有更高的蝕刻選擇性的材料形成硬遮罩132。這樣,可以在足夠的時間完成用以去除上層116的製程以去除浮渣(例如,在圖案化上層116之後剩餘的多餘材料),而不會損壞硬遮罩132,並且不需要額外的去浮渣(de-scum)或修整(trimming)製程。額外的去浮渣或修整製程可能導致線橋接(例如,由被蝕刻的遮罩層的多餘材料所導致)。在上層116上方形成硬遮罩132更有助於減小裝置特徵的臨界尺寸、減小線寬粗糙度、增加蝕刻窗口、並在中層114中產生較少的缺陷。這些改進在目標層(例如目標層104)被實現,並幫助形成具有細間距並且良率較高的半導體結構。
在第6圖中,執行蝕刻製程以將硬遮罩132的圖案轉移到中層114以及底層112,從而使開口134延伸通過中層114以及底層112。中層114以及底層112的蝕刻製程是各向異性的,使得硬遮罩132中的開口134延伸通過中層114以及底層112,並且開口134在中層114以及底層112中具有與在硬遮罩132中大致相同的尺寸。在一些實施例中,硬遮罩132可以作為蝕刻中層114以及/或底層112的一部分而被消耗。在其他實施例中,可以在蝕刻中層114以及底層112之後保留硬遮罩132。
在第7圖中,執行蝕刻製程以將硬遮罩132、中層114、以及底層112的圖案轉移到介電層110,從而使開口134延伸通過介電層110。介電層110的蝕刻製程是各向異性的,使得硬遮罩132、中層114以及底層112中的開口134延伸通過介電層110,並且開口134在介電層110中具有與在硬遮罩132、中層114以及底層112中大約相同的尺寸。作為蝕刻介電層110的一部分,硬遮罩132、中層114、以及底層112可被消耗,或者至少部分被消耗。
在一些實施例中,若在蝕刻介電層110時,硬遮罩132、中層114、以及/或底層112中的任一者沒有被完全消耗,則可以執行剝離製程(例如,濕式剝離製程)、灰化製程(例如,電漿灰化製程)等以去除硬遮罩132、中層114、以及底層112的剩餘部分。灰化製程可以包括氧電漿帶,此氧電漿帶將介電層110暴露於氧電漿。介電層110可以由抗氧化的材料形成,使得可以在整個光阻去除製程中保留介電層110。
在第8圖中,執行蝕刻製程以將介電層110的圖案轉移到硬遮罩層108,從而使開口134延伸通過硬遮罩層108。硬遮罩層108的蝕刻製程為各向異性,使得介電層110中的開口134延伸通過硬遮罩層108,並且開口134在硬遮罩層108中具有與在介電層110中相同的尺寸。作為蝕刻硬遮罩層108的一部分,介電層110可被消耗。在介電層110僅被部分地消耗的實施例中,如第8圖所示,在圖案化硬遮罩層108之後,可以執行濕式清潔製程以去除介電層110的任何剩餘部分。在又一些實施例中,介電層110的剩餘部分可以分別藉由後續的圖案化步驟或濕式清潔製程以消耗或去除。
在第9圖中,執行蝕刻製程以將硬遮罩層108的圖案轉移到介電層106以及目標層104,從而使開口134延伸通過介電層106以及目標層104。蝕刻製程可以包括依序蝕刻通過介電層106到目標層104的各向異性乾式蝕刻製程以及/或濕式蝕刻製程。可以在單一圖案化步驟中圖案化目標層104。在圖案化開口134以通過目標層104之後,可以執行濕式清潔製程以去除硬遮罩層108以及介電層106的任何剩餘部分。在第10圖中示出了所得的結構。在開口134在目標層104中被圖案化之後,可以在開口134中形成特徵。
在第11圖中,藉由首先在半導體基板102以及目標層104上方沉積襯層(liner)124以及導電材料126並填充開口134以形成導電特徵128(第12圖中所示)。在目標層104是低k值介電質的實施例中,被圖案化的目標層104提供內連線結構的金屬間介電質。襯層124可包括沿開口134的側壁以及底表面以及目標層104的頂表面沉積的一層或多層。襯層124可包括氧化鈦、氮化鈦、氧化鉭、氮化鉭、其多層等,並且可以為導電特徵128提供擴散阻障層、黏著層以及/或晶種層。可以使用任何合適的製程沉積襯層124,像是物理氣相沉積、化學氣相沉積、原子層沉積等。
在沉積襯層124之後,可以在開口134的剩餘部分填充導電材料126,像是銅。可以使用像是物理氣相沉積、電鍍等任何合適的方法沉積導電材料126。如第11圖所示,可以先沉積導電材料126以過度填充(overfill)開口134。
在第12圖中,執行平坦化製程以使襯層124以及導電材料126的最上表面與目標層104的頂表面齊平,而形成導電特徵128。平坦化製程可以是化學機械研磨製程、回蝕製程、其組合等。因此,可以在目標層104中形成包括襯層124以及導電材料126的導電特徵128。
在其他實施例中,可以不進行第11圖以及第12圖的沉積以及平坦化製程。例如,在一些實施例中,硬遮罩層108以及介電層106可以用於圖案化作為半導體基板的目標層104。在這樣的實施例中,硬遮罩層108以及介電層106定義用於鰭式場效電晶體裝置中的鰭片的圖案。在其他實施例中,硬遮罩層108以及介電層106可以用於圖案化毯覆沉積層(例如,導電虛置閘極層)。在這樣的實施例中,硬遮罩層108以及介電層106定義用於電晶體的閘極結構的圖案。其他特徵也可以使用上述製程圖案化。
如上所述,在圖案化的上層116上方形成硬遮罩132並使用硬遮罩132作為遮罩層圖案化中層114,允許一起去除被圖案化的上層116與任何剩餘的浮渣。這樣就去除了浮渣而無需進行額外的去浮渣或修整過程,避免了線橋接。這防止浮渣以及線橋接導致中層114以及下覆層的圖案化中的缺陷。例如,浮渣可能導致最終的裝置短路,而線橋接可能導致洩漏等問題。硬遮罩132更減小了裝置的臨界尺寸,減小了線寬的粗糙度,並增加了蝕刻窗口。結果,可以形成良率較高並且具有細間距的半導體結構。
第13圖至第18圖示出了具有不同寬度的特徵在目標層104中被圖案化的第二實施例。第13圖至第18圖中使用的參考標號與第1圖至第12圖中使用的參考標號相同,以表示相同或類似的層以及/或結構。這樣,可以使用與上述參考第1圖至第12圖類似的材料以及方法形成具有相同參考標號的層以及結構,而將不再重複。
在第13圖中,使用微影製程圖案化上層116以形成第一開口140以及第二開口142。可以使用任何合適的微影製程圖案化上層116,以在上層116中形成第一開口140以及第二開口142。作為圖案化上層116中的第一開口140以及第二開口142的示例,可以在上層116上方設置光罩。然後,當光罩遮擋上層116的區域時,可以將上層116暴露於包括極紫外光(EUV)光源、紫外光( UV)光源、準分子雷射,像是氟化氪(KrF)準分子雷射發出的248nm光束、氟化氬(ArF)準分子雷射發出的193nm光束、或氟(F2 )準分子雷射發出的157nm光束等的輻射束中。使用浸沒式微影系統執行上層116的曝光以增加解析度並減小最小可達到的間距。可以執行烘烤或固化操作以硬化上層116,並且取決於使用的​​是正光阻還是負光阻,可以使用顯影劑來去除上層116的曝光或未曝光的部分。第一開口140的間距P3 可以是大約80nm或更小、大約28nm或更小、或者甚至大約20nm或更小。第一開口140的其他間距P3 也是可以預期的。第二開口142的間距P4 可以是從大約100nm至大約500nm。間距P3 與間距P4 之比可以是從大約0.04至大約0.8,像是大約0.28。在一些實施例中,第一開口140可以具有高度對寬度從大約0.25至大約6的高寬比,像是大約3。第一開口140的寬度可以從大約10nm至大約40nm,像是約14nm。第二開口142可以具有高度對寬度從大約0.02至大約0.6的高寬比,像是大約0.1。第二開口142的寬度可以從大約50nm至大約250nm,像是約125nm。
在第14圖中,硬遮罩148沉積在第一開口140、第二開口142中以及上層116上方。如第14圖所示,硬遮罩148可以包括第一硬遮罩層144以及第二硬遮罩層146。可以藉由沉積腔室將硬遮罩148(包括第一硬遮罩層144以及第二硬遮罩層146)沉積在三層光阻122上方,使得不需要使用額外的沉積機台或腔室。可以使用任何合適的沉積製程沉積第一硬遮罩層144以及第二硬遮罩層146,像是原子層沉積(ALD)、化學氣相沉積(CVD)等。
在特定實施例中,可以藉由原子層沉積形成第一硬遮罩層144,並且可以藉由化學氣相沉積形成第二硬遮罩層146。在藉由原子層沉積以沉積第一硬遮罩層144的實施例中,可以使用第一前驅物氣體以及第二前驅物氣體沉積第一硬遮罩層144。將第一前驅物氣體以及第二前驅物氣體引入反應腔室中,並依序以自限性的方式一次與下覆材料的表面反應。結果,第一硬遮罩層144被逐層沉積。在藉由化學氣相沉積以沉積第二硬遮罩層146的實施例中,可以使用第一前驅物氣體以及第二前驅物氣體沉積第二硬遮罩層146。將第一前驅物氣體以及第二前驅物氣體引入反應腔室中,並同時與下覆的材料的表面反應。結果,使用化學氣相沉積以沉積的第二硬遮罩層146可以比使用原子層沉積沉積更快。
第一硬遮罩層144可以沉積在第一開口140、第二開口142中以及上層116上方。第一硬遮罩層144可以具有從大約120Å到大約500Å的厚度,像是大約300Å。第一硬遮罩層144可以是氧化鋁(AlOx )、氧化鈦(TiOx )、氧化鋯(ZrOx )、氧化鋅(ZnO)、氧化錫(SnOx )、氮化矽、碳化矽、碳氮化矽、或其多層等。在一些實施例中,可以使用第一前驅物氣體以及第二前驅物氣體沉積第一硬遮罩層144。第一前驅物氣體可包括三甲基鋁(TMA, Al(CH3 )3 )、二乙基鋅(DEZ, Zn(C2 H5 )2 )、四(二甲基氨基)鈦(TDMAT, Ti[(CH3 )2 N]4 )、異丙醇鈦(TTIP, Ti [(CH3 )2 OCH]4 )、四氯化鈦(TiCl4 )、雙(叔丁基氨基)矽烷(BTBAS, [NH(C4 H9 )]2 SiH2 )、雙(二乙基氨基)矽烷(BDIS, SiH2 [N(C2 H5 )2 ]2 )、三(二甲基氨基)矽烷(3DMAS, SiH[N(CH3 )2 ]3 )、四(二甲基氨基)鋯(TDMAZ, Zr[N(CH3 )2 ]4 )、四氯化鋯(ZrCl4 )、四(二甲基氨基)錫(TDMASn, Sn[N(C2 H5 )4 ])等。第二前驅物氣體可以包括氧氣源(例如,水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、一氧化二氮(N2 O)等)、其他反應化合物(例如,氮(N2 )、氨(NH3 )等)、其組合等。
第二硬遮罩層146可以沉積在第一硬遮罩層144上方。第二硬遮罩層146可以填充第一開口140以及第二開口142的未被第一硬遮罩層144完全填充的部分(像是第二開口142的剩餘部分,如第13圖所示)。第二硬遮罩層146可以具有從大約500 Å到大約3000 Å的厚度,像是大約2000Å。第二硬遮罩層146可以是氧化鋁(AlOx )、氧化鈦(TiOx )、氧化鋯(ZrOx )、氧化鋅(ZnO)、氧化錫(SnOx )、氮化矽、碳化矽、碳氮化矽、其組合或其多層等。在一些實施例中,可以使用第一前驅物氣體以及第二前驅物氣體沉積第二硬遮罩層146。第一前驅物氣體可包括氯化鋁(AlCl3 )、三甲基鋁(TMA, Al(CH3 )3 )、二乙基鋅(DEZ, Zn(C2 H5 )2 )、四(二甲基氨基)鈦(TDMAT, Ti [(CH3 )2 N]4 )、異丙醇鈦(TTIP,Ti [(CH3 )2 OCH]4 )、四氯化鈦(TiCl4 )、矽烷(SiH4 )、四乙氧基矽烷(TEOS,Si(OC2 H5 )4 )、二氯矽烷(SiH2 Cl2 )、四甲基矽烷(Si(CH3 )4 )、四(二甲基氨基)鋯(TDMAZ,Zr [N(CH3 )2 ]4 )、四氯化鋯(ZrCl4 )、四(二甲基氨基)錫(TDMASn, Sn[N(C2 H5 )4 ])等。第二前驅物氣體可以包括氧氣源(例如,水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、一氧化二氮(N2 O)等)、其他反應化合物(例如,氮(N2 )、氨(NH3 )等)、其組合等。可以由與第一硬遮罩層144相同或不同的材料形成第二硬遮罩層146。
可以在小於大約200˚C的溫度或從大約50˚C到大約200˚C的溫度等沉積第一硬遮罩層144以及第二硬遮罩層146。在像是大約低於200˚的低溫下沉積第一硬遮罩層144以及第二硬遮罩層146可以限制下覆的上層116的任何損壞或分解。
在第15圖中,執行平坦化製程以去除硬遮罩148的多個部分,而形成第一硬遮罩150以及第二硬遮罩152,並且去除上層116。平坦化製程可以是化學機械研磨(CMP)、回蝕製程、其組合等。在一些實施例中,平坦化製程可以導致硬遮罩148的頂表面與上層116的頂表面齊平。然而,在其他實施例中(例如,使用回蝕製程等的實施例),硬遮罩148的頂表面可以設置在上層116的頂表面之上或之下。此外,如第15圖所示,在平坦化製程之後,第一硬遮罩層144的上表面可以與第二硬遮罩層146的上表面齊平。可以在去除上層116之前執行平坦化製程。
然後,去除上層116以在硬遮罩148的剩餘部分之間形成開口。可以藉由使用可接受的灰化或剝離製程(像是氧電漿灰化等)去除上層116。在一些實施例中,可以藉由像是各向異性蝕刻製程、各向同性蝕刻製程等的蝕刻製程去除上層116。例如,可以藉由濕式蝕刻製程、乾式蝕刻製程等去除上層116。可以由對硬遮罩132以及中層114具有高蝕刻選擇性的材料形成上層116。這樣,在不去除硬遮罩132以及中層114的情況下去除上層。
如第15圖所示,在平坦化製程之後,硬遮罩148包括僅包括第一硬遮罩層144的第一硬遮罩150以及包括第一硬遮罩層144以及第二硬遮罩層146的第二硬遮罩152。第一硬遮罩150具有從大約10nm至大約60nm的高度H2 ,像是大約30nm,並且具有從大約8nm至大約38nm的寬度W2 ,像是大約12nm。第二硬遮罩152具有從大約5nm至大約50nm的高度H3 ,像是大約30nm,並且具有從大約48nm至大約248nm的寬度W3 ,像是大約148nm。高度H2 可以與高度H3 相同。第一硬遮罩層144中包括在第二硬遮罩152中的部分具有從大約5nm至大約24nm的厚度T1 ,像是大約14nm。第二硬遮罩層146中包括在第二硬遮罩152中的部分具有從大約5nm至大約36nm的厚度T2 ,像是大約30nm,並且具有從大約38nm至大約238nm的寬度W4 ,像是大約138nm。
第一硬遮罩層144以及第二硬遮罩層146的材料對中層114的材料的蝕刻選擇性可大於上層116的材料對中層114的材料的蝕刻選擇性,這樣,可以在足夠的時間完成用以去除上層116的製程以去除浮渣(例如,在圖案化上層116之後剩餘的多餘材料),而不會損壞硬遮罩148,並且不需要額外的去浮渣或修整製程。額外的去浮渣或修整製程可能導致線橋接(例如,由被蝕刻的遮罩層的多餘材料所導致)。在上層116上方形成硬遮罩148更有助於減小裝置特徵的臨界尺寸、減小線寬粗糙度、增加蝕刻窗口、並在中層114中產生較少的缺陷。這些改進被實現到目標層(例如目標層104),並幫助形成良率較高且具有細間距的半導體結構。
在第16圖中,執行各種蝕刻製程以將硬遮罩148的圖案(如第15圖所示)通過下覆層(例如,中層114、底層112、介電層110、硬遮罩層108、以及介電層106)轉移到目標層104,並且在圖案化的目標層104中形成開口154。用於蝕刻硬遮罩148下方的層的蝕刻製程可以與上述參考第5圖至第10圖的蝕刻製程相同或相似。中層114、底層112、介電層110、硬遮罩層108以及介電層106可以在蝕刻下覆層的期間被消耗,或者可以使用像是濕式清潔製程的額外製程以去除中層114、底層112、介電層110、硬遮罩層108以及介電層106。
在第17圖中,藉由首先在半導體基板102以及目標層104上方沉積襯層156以及導電材料158並填充開口154以形成導電特徵160(第18圖中所示)。在目標層104是低k值介電質的實施例中,被圖案化的目標層104為內連線結構提供金屬間介電。襯層156可包括沿開口154的側壁以及底表面以及目標層104的頂表面沉積的一層或多層。襯層156可包括氧化鈦、氮化鈦、氧化鉭、氮化鉭、其多層等,並且可以為導電特徵160提供擴散阻障層、黏著層以及/或晶種層。可以使用任何合適的製程沉積襯層156,像是物理氣相沉積、化學氣相沉積、原子層沉積等。
在沉積襯層156之後,可以在開口154的剩餘部分填充導電材料158,像是銅。可以使用像是物理氣相沉積、電鍍等任何合適的方法沉積導電材料158。如第17圖所示,可以先沉積導電材料158以過度填充開口154。
在第18圖中,執行平坦化製程以使襯層156以及導電材料158的最上表面與目標層104的頂表面齊平,而形成導電特徵160。平坦化製程可以是化學機械研磨製程、回蝕製程、其組合等。因此,可以在目標層104中形成包括襯層156以及導電材料158的導電特徵160。
在其他實施例中,可以不進行第17圖以及第18圖的沉積以及平坦化製程。例如,在一些實施例中,硬遮罩層108以及介電層106可以用於圖案化作為半導體基板的目標層104。在這樣的實施例中,硬遮罩層108以及介電層106定義用於鰭式場效電晶體裝置中的鰭片的圖案。在其他實施例中,硬遮罩層108以及介電層106可以用於圖案化毯覆沉積層(例如,導電虛置閘極層)。在這樣的實施例中,硬遮罩層108以及介電層106定義用於電晶體的閘極結構的圖案。其他特徵也可以使用上述製程圖案化。
如上所述,在圖案化的上層116上方形成硬遮罩148並使用硬遮罩148作為遮罩層圖案化中層114,允許一起去除被圖案化的上層116與任何剩餘的浮渣。這樣就去除了浮渣而無需進行額外的去浮渣或修整過程,避免了線橋接。這防止浮渣以及線橋接導致中層114以及下覆層的圖案化中的缺陷。例如,浮渣可能導致最終的裝置短路,而線橋接可能導致洩漏等問題。使用硬遮罩148使特徵在目標層104中具有不同的寬度被圖案化。硬遮罩148更減小了裝置的臨界尺寸,減小了線寬的粗糙度,並增加了蝕刻窗口。結果,可以形成良率較高並且具有細間距的半導體結構。
根據一些實施例,提供一種圖案化半導體裝置的方法包括在第一硬遮罩層上方沉積光阻層,圖案化光阻層以在光阻層中形成複數個開口,在光阻層上方沉積第二硬遮罩層,第二硬遮罩層填充複數個開口,第二硬遮罩層相對於第一硬遮罩層具有第一蝕刻選擇性,光阻層相對於第一硬遮罩層具有第二蝕刻選擇性,第一蝕刻選擇性大於第二蝕刻選擇性,平坦化第二硬遮罩層,去除光阻層,以及使用第二硬遮罩層作為遮罩以蝕刻第一硬遮罩層。在一些實施例中,第二硬遮罩層包括氧化鋁(AlOx )、氧化鈦(TiOx )、氧化鋯(ZrOx )、氧化鋅(ZnO)或氧化錫(SnOx )中的至少一種。在一些實施例中,用於沉積第二硬遮罩層的第一前驅物氣體包括三甲基鋁(TMA,Al(CH3 )3 )、二乙基鋅(DEZ, Zn(C2 H5 )2 )、四(二甲基氨基)鈦(TDMAT, Ti[ (CH3 )2 N]4 )、異丙醇鈦(TTIP, Ti[(CH3 )2 OCH]4 )、四氯化鈦(TiCl4 )、四(二甲基氨基)鋯(TDMAZ, Zr[N(CH3 )2 ]4 )、四氯化鋯(ZrCl4 )、或四(二甲基氨基)錫(TDMASn, Sn[N(C2 H5 )4 ])中的至少一種。在一些實施例中,用於沉積第二硬遮罩層的第二前驅物氣體包括水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、或一氧化二氮(N2 O)中的至少一種。在一些實施例中,圖案化光阻層包括將光阻層暴露於極紫外(EUV)光源。在一個實施例中,光阻層具有小於大約60nm的厚度。在一些實施例中,開口具有從10nm至40nm的寬度。
根據另一些實施例,提供一種圖案化半導體裝置的方法包括圖案化光阻層以在光阻層中形成第一開口以及第二開口,在光阻層上方沉積硬遮罩,硬遮罩包括第一硬遮罩層以及第二硬遮罩層,第一硬遮罩層填充第一開口以及第二開口的第一部分,第二硬遮罩層填充第二開口的剩餘部分,平坦化硬遮罩,去除光阻層,以及使用硬遮罩以圖案化目標層。在一些實施例中,在平坦化硬遮罩之後,第一硬遮罩層的多個上表面與第二硬遮罩層的多個上表面齊平。在一些實施例中,藉由原子層沉積以沉積第一硬遮罩層,並且藉由化學氣相沉積以沉積第二硬遮罩層。在一些實施例中,其中在平坦化硬遮罩之後,沉積在第一開口中的硬遮罩的一部分具有從10nm至60nm的高度。在一些實施例中,第一開口具有從10nm至40nm的第一寬度,並且第二開口具有從50nm至250nm的第二寬度。在一些實施例中,第一硬遮罩層具有從12nm至50nm的厚度,並且第二硬遮罩層具有從50nm至300nm的厚度。在一些實施例中,第一硬遮罩層以及第二硬遮罩層包括不同的材料。
根據另一些實施例,提供一種圖案化半導體裝置的方法包括在膜堆疊上方沉積三層光阻,三層光阻包括底部抗反射塗佈(BARC)層、第一硬遮罩層以及光阻層,圖案化光阻層以在光阻層中形成複數個開口,其中圖案化光阻層的操作包括將光阻層暴露於光源,在光阻層上方沉積第二硬遮罩層,其中在小於200℃的溫度下沉積第二硬遮罩層,平坦化第二硬遮罩層,在平坦化第二硬遮罩層之後,去除光阻層,以及使用第二硬遮罩層作為遮罩以圖案化第一硬遮罩層、底部抗反射塗佈層以及膜堆疊。在一些實施例中,用於沉積第二硬遮罩層的第一前驅物氣體包括雙(叔丁基氨基)矽烷(BTBAS, [NH(C4 H9 )]2 SiH2 )、雙(二乙基氨基)矽烷(BDIS, SiH2 [N(C2 H5 )2 ]2 )、或三(二甲基氨基)矽烷(3DMAS, SiH[N(CH3 )2 ]3 )中的至少一種。在一些實施例中,用於沉積第二硬遮罩層的第二前驅物氣體包括水(H2 O)、氧氣(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、一氧化二氮(N2 O)、氮氣(N2 )、或氨(NH3 )中的至少一種。在一些實施例中,第二硬遮罩層包括氮化矽、碳化矽、或碳氮化矽中的至少一種。在一些實施例中,沉積第二硬遮罩層包括使用原子層沉積以沉積第一層。在一些實施例中,沉積第二硬遮罩層更包括在第一層上方使用化學氣相沉積以沉積第二層。
前面概述數個實施例之特徵,使得本技術領域中具有通常知識者可更好地理解本揭露之各方面。本技術領域中具有通常知識者應理解的是,可輕易地使用本揭露作為設計或修改其他製程以及結構的基礎,以實現在此介紹的實施例之相同目的及/或達到相同優點。本技術領域中具有通常知識者亦應理解的是,這樣的等效配置並不背離本揭露之精神以及範疇,且在不背離本揭露之精神以及範疇的情形下,可對本揭露進行各種改變、替換以及更改。
100:半導體裝置 102:半導體基板 104:目標層 106,110:介電層 108:硬遮罩層 112:底層 114:中層 116:上層 120:膜堆疊 122:光阻 124,156:襯層 126,158:導電材料 128,160:導電特徵 130,134:開口 132,148:硬遮罩 140:第一開口 142:第二開口 144:第一硬遮罩層 146:第二硬遮罩層 150:第一硬遮罩 152:第二硬遮罩 H1,H2,H3:高度 P1,P2,P3,P4:間距 T1,T2:厚度 W1,W2,W3,W4:寬度
從以下的詳細描述並閱讀所附圖式以最佳理解本揭露之各方面。應注意的是,不同特徵並未一定按照比例繪製。事實上,可能任意的放大或縮小不同特徵的大小及幾何尺寸,以做清楚的說明。 第1圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第2圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第3圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第4圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第5圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第6圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第7圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第8圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第9圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第10圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第11圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第12圖示出了根據第一組實施例的製造半導體裝置的中間階段的剖面圖。 第13圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。 第14圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。 第15圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。 第16圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。 第17圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。 第18圖示出了根據第二組實施例的製造半導體裝置的中間階段的剖面圖。
100:半導體裝置
102:半導體基板
104:目標層
106,110:介電層
108:硬遮罩層
112:底層
114:中層
116:上層
120:膜堆疊
122:光阻

Claims (1)

  1. 一種圖案化半導體裝置的方法,包括: 在一第一硬遮罩層上方沉積一光阻層; 圖案化該光阻層以在該光阻層中形成複數個開口; 在該光阻層上方沉積一第二硬遮罩層,該第二硬遮罩層填充該複數個開口,該第二硬遮罩層相對於該第一硬遮罩層具有一第一蝕刻選擇性,該光阻層相對於該第一硬遮罩層具有一第二蝕刻選擇性,該第一蝕刻選擇性大於該第二蝕刻選擇性; 平坦化該第二硬遮罩層; 去除該光阻層;以及 使用該第二硬遮罩層作為一遮罩以蝕刻該第一硬遮罩層。
TW109108304A 2019-03-29 2020-03-13 圖案化半導體裝置的方法 TW202109618A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/369,501 US10867794B2 (en) 2019-03-29 2019-03-29 Patterning method for semiconductor devices and structures resulting therefrom
US16/369,501 2019-03-29

Publications (1)

Publication Number Publication Date
TW202109618A true TW202109618A (zh) 2021-03-01

Family

ID=72607953

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108304A TW202109618A (zh) 2019-03-29 2020-03-13 圖案化半導體裝置的方法

Country Status (3)

Country Link
US (1) US10867794B2 (zh)
CN (1) CN111755324A (zh)
TW (1) TW202109618A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771021B (zh) * 2021-05-26 2022-07-11 南亞科技股份有限公司 形成半導體結構的方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
US20220148879A1 (en) * 2020-11-11 2022-05-12 Changxin Memory Technologies, Inc. Method for treating photoresist and self-aligned double patterning method
US20230253206A1 (en) * 2022-01-14 2023-08-10 Applied Materials, Inc. Methods for preparing small features on a substrate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7314810B2 (en) * 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US8158334B2 (en) * 2008-01-14 2012-04-17 International Business Machines Corporation Methods for forming a composite pattern including printed resolution assist features
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
KR102233577B1 (ko) * 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) * 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771021B (zh) * 2021-05-26 2022-07-11 南亞科技股份有限公司 形成半導體結構的方法

Also Published As

Publication number Publication date
CN111755324A (zh) 2020-10-09
US20200312662A1 (en) 2020-10-01
US10867794B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
TWI698929B (zh) 半導體裝置的圖案化方法
TWI735934B (zh) 半導體裝置的形成方法
TW202109618A (zh) 圖案化半導體裝置的方法
KR102063236B1 (ko) 반도체 디바이스 및 방법
CN110610898A (zh) 图案化半导体装置的方法
TWI792360B (zh) 半導體裝置的形成方法及其用於製造積體電路的方法
TW201946100A (zh) 半導體裝置之製造方法
KR102650776B1 (ko) 반도체 패터닝 및 형성된 구조
US20240249942A1 (en) Semiconductor device and method
US10658190B2 (en) Extreme ultraviolet lithography patterning with directional deposition
US20240136184A1 (en) Method for forming and using mask
TW202131412A (zh) 半導體元件的形成方法
US20230154753A1 (en) Patterned Semiconductor Device and Method
TW202117817A (zh) 半導體裝置的製造方法