TW202014548A - Plasma processing method and plasma processing apparatus - Google Patents

Plasma processing method and plasma processing apparatus Download PDF

Info

Publication number
TW202014548A
TW202014548A TW108125909A TW108125909A TW202014548A TW 202014548 A TW202014548 A TW 202014548A TW 108125909 A TW108125909 A TW 108125909A TW 108125909 A TW108125909 A TW 108125909A TW 202014548 A TW202014548 A TW 202014548A
Authority
TW
Taiwan
Prior art keywords
film
plasma processing
plasma
procedure
gas
Prior art date
Application number
TW108125909A
Other languages
Chinese (zh)
Other versions
TWI820170B (en
Inventor
中谷理子
久松亨
石川慎也
熊倉翔
本田昌伸
木原嘉英
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202014548A publication Critical patent/TW202014548A/en
Application granted granted Critical
Publication of TWI820170B publication Critical patent/TWI820170B/en

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A plasma processing method executed by a plasma processing apparatus in the present disclosure includes a first step and a second step. In the first step, the plasma processing apparatus forms a first film on the side walls of an opening in the processing target, the first film having different thicknesses along a spacing between pairs of side walls facing each other. In the second step, the plasma processing apparatus forms a second film by performing a film forming cycle once or more times after the first step, the second film having different thicknesses along the spacing between the pairs of side walls facing each other.

Description

電漿處理方法及電漿處理裝置Plasma processing method and plasma processing device

以下揭示係關於電漿處理方法及電漿處理裝置。The following disclosure relates to a plasma processing method and a plasma processing device.

作為一種在基板上進行成膜之手段,吾人普知有電漿增強原子層沉積(PE-ALD:Plasma Enhanced Atomic Layer Deposition)法。吾人將包含PE-ALD法之各樣技術使用於半導體裝置之圖案形成。As a method of forming a film on a substrate, I generally know the plasma enhanced atomic layer deposition (PE-ALD: Plasma Enhanced Atomic Layer Deposition) method. We use various techniques including the PE-ALD method for pattern formation of semiconductor devices.

例如,吾人提案一種使用ALD(Atomic Layer Deposition,原子層沉積)之手段,俾因應於形成於被處理基板之開口部的位置而選擇性促進成膜(專利文獻1)。又,吾人提案一種手段,選擇性形成SAM(Self-assembled monolayer,自組裝單層膜),並在其後進行氣相蝕刻(專利文獻2)。又,吾人提案一種手段,使用離子注入而實現針對3D奈米構造體之選擇性成膜(非專利文獻1)。 〔先前技術文獻〕 〔專利文獻〕For example, I propose a method using ALD (Atomic Layer Deposition) to selectively promote film formation according to the position formed in the opening of the substrate to be processed (Patent Document 1). In addition, I propose a method to selectively form a SAM (Self-assembled monolayer) and then perform vapor phase etching (Patent Document 2). In addition, I propose a method that uses ion implantation to achieve selective film formation for 3D nanostructures (Non-Patent Document 1). [Previous Technical Literature] [Patent Literature]

專利文獻1:美國專利申請案公開第2017/0140983號說明書 專利文獻2:美國專利申請案公開第2017/0148642號說明書 〔非專利文獻〕Patent Literature 1: US Patent Application Publication No. 2017/0140983 Patent Literature 2: US Patent Application Publication No. 2017/0148642 Specification [Non-Patent Literature]

非專利文獻1:Woo-Hee Kim等,”A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation” ACS Nano 2016, 10, 4451-4458.Non-Patent Literature 1: Woo-Hee Kim et al., "A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation" ACS Nano 2016, 10, 4451-4458.

〔發明所欲解決之問題〕[Problems to be solved by the invention]

本說明書提供一種可實現形成於基板上之圖案的精密尺寸控制之技術。 〔解決問題之方式〕This specification provides a technique that enables precise dimensional control of patterns formed on a substrate. [Method of solving the problem]

本說明書的一態樣之電漿處理裝置所執行之電漿處理方法包含第一程序與第二程序。第一程序之中,電漿處理裝置,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜。第一程序後的第二程序之中,電漿處理裝置,將成膜循環施行一次以上,形成厚度依相向之成對側壁彼此之間隔而異的第二膜。 〔發明之效果〕The plasma processing method executed by the plasma processing apparatus according to an aspect of the present specification includes a first program and a second program. In the first procedure, the plasma processing apparatus forms a first film on the side wall of the opening portion of the processing object whose thickness varies according to the interval between the pair of side walls facing each other. In the second process after the first process, the plasma processing apparatus performs the film formation cycle more than once to form a second film whose thickness varies according to the interval between the opposing pair of side walls. [Effect of Invention]

依據本說明書,則可實現形成於基板上之圖案之精密尺寸控制。According to this specification, precise size control of the pattern formed on the substrate can be achieved.

〔實施發明之較佳形態〕[Preferable embodiment of the invention]

以下,基於圖式,詳細說明所揭示之實施形態。此外,本實施形態非限定。又,各實施形態可在不使處理內容矛盾之範圍內合宜組合。Hereinafter, based on the drawings, the disclosed embodiments will be described in detail. In addition, this embodiment is not limited. In addition, the embodiments can be combined as appropriate within a range that does not contradict processing contents.

>ALD中之潛伏的機制> 說明實施形態前,先說明ALD中之潛伏的機制。>The underlying mechanism in ALD> Before describing the implementation form, the latent mechanism in ALD will be described first.

圖2A至圖2D用以說明一般ALD的流程的一例。圖2A用以說明ALD中之前驅體氣體的化學吸附步驟。圖2B用以說明ALD中之前驅體氣體的氣滌步驟。圖2C用以說明ALD中之反應氣體所成之活性化步驟。圖2D用以說明ALD中之反應氣體的氣滌步驟。ALD,如圖2A至圖2D所示,通常包含下述四個步驟。 (1)化學吸附步驟,將配置在處理室內之處理對象(例如半導體基板)曝露於前驅體氣體(參照圖2A) (2)氣滌步驟,將殘留在處理室內之前驅體氣體加以氣滌(參照圖2B) (3)反應步驟,將配置在處理室內之處理對象曝露於反應氣體(參照圖2C) (4)氣滌步驟,將殘留在處理室內之反應氣體加以氣滌(參照圖2D) 此外,以下說明之中,(3)反應步驟,係使反應氣體電漿化而執行。ALD之中,重複執行上述步驟(1)至(4),將膜形成於處理對象上。此外,氣滌步驟(2)及(4)係任意步驟,不一定執行。2A to 2D are used to illustrate an example of a general ALD process. FIG. 2A is used to illustrate the chemical adsorption step of the precursor gas in ALD. FIG. 2B is used to illustrate the scrubbing step of the precursor gas in ALD. FIG. 2C is used to illustrate the activation step formed by the reaction gas in ALD. FIG. 2D is used to illustrate the step of scrubbing the reaction gas in ALD. ALD, as shown in FIGS. 2A to 2D, generally includes the following four steps. (1) Chemical adsorption step, exposing the processing object (for example, semiconductor substrate) arranged in the processing chamber to the precursor gas (refer to FIG. 2A) (2) Gas scrubbing step, scrub the precursor gas before remaining in the processing chamber (refer to Figure 2B) (3) In the reaction step, the treatment object arranged in the treatment chamber is exposed to the reaction gas (refer to FIG. 2C) (4) Gas scrubbing step, scrub the reaction gas remaining in the processing chamber (refer to Figure 2D) In addition, in the following description, (3) the reaction step is performed by plasmaizing the reaction gas. During ALD, the above steps (1) to (4) are repeatedly performed to form a film on the processing object. In addition, the air scrubbing steps (2) and (4) are arbitrary steps and are not necessarily performed.

ALD之中,可例如使用含矽氣體作為前驅體氣體、並使用含O氣體作為反應氣體,而將SiO2 膜沉積在處理對象上。此情形下,首先步驟(1)之中,將配置在處理室內之處理對象曝露於前驅體氣體即含矽氣體。如此一來,則含矽氣體化學吸附在處理對象的表面。未化學吸附在處理對象而殘存在處理室內的前驅體氣體,於步驟(2)被氣滌。其後,步驟(3)之中,使含O氣體電漿化,並使氧自由基與化學吸附在處理對象之含矽分子進行反應(矽氧化),而形成一層SiO2 膜。殘存在處理室內之含O氣體,於步驟(4)被氣滌。ALD基本上係逐層地形成膜,若處理對象上沒有原子所化學吸附的表面,則處理會停止,因此可自我限制形成保形的膜。In ALD, for example, a silicon-containing gas can be used as a precursor gas and an O-containing gas can be used as a reaction gas to deposit a SiO 2 film on the processing object. In this case, in the first step (1), the processing object arranged in the processing chamber is exposed to the precursor gas, that is, the silicon-containing gas. In this way, the silicon-containing gas is chemically adsorbed on the surface of the processing object. The precursor gas remaining in the processing chamber without being chemically adsorbed on the processing object is scrubbed in step (2). Thereafter, in step (3), the gas containing O is made into plasma, and oxygen radicals react with the silicon-containing molecules chemically adsorbed on the treatment object (silicon oxidation) to form a layer of SiO 2 film. The O-containing gas remaining in the treatment chamber is scrubbed in step (4). ALD basically forms a film layer by layer. If there is no surface to which the atoms are chemically adsorbed on the object to be processed, the process will be stopped, so the self-limiting formation of a conformal film is possible.

然而,當將前驅體氣體之化學吸附加以妨礙的因子(以下亦稱作抑制劑)存在處理對象表面上時,則步驟(1)之中,前驅體氣體不化學吸附在處理對象,而不進行ALD所成之成膜。將由於此等妨礙因子等而產生之成膜開始之延遲稱作潛伏。圖3A至圖3F係用以說明一實施形態之電漿處理方法中之圖(1)至(6)。However, when a factor that hinders the chemisorption of the precursor gas (hereinafter also referred to as an inhibitor) exists on the surface of the treatment object, then in step (1), the precursor gas is not chemically adsorbed on the treatment object and does not proceed Film formation by ALD. The delay in the start of film formation due to these obstructive factors is called latent. 3A to 3F are diagrams (1) to (6) for explaining the plasma processing method of one embodiment.

圖3A顯示使用CF(碳氟化合物)之化學氣相沉積(CVD:Chemical Vapor Deposition)等藉以在處理對象的表面上形成CF膜之狀態。圖3A中,利用黑圓表示氟原子(CF:共價鍵結於碳之氟原子)。又,利用白圓表示處理對象(基板)的原子。FIG. 3A shows a state where a CF film is formed on the surface of the processing object using chemical vapor deposition (CVD: Chemical Vapor Deposition) or the like using CF (fluorocarbon). In FIG. 3A, a black circle represents a fluorine atom (CF: fluorine atom covalently bonded to carbon). In addition, white circles indicate atoms to be processed (substrate).

圖3B顯示針對圖3A所示之處理對象而將ALD循環執行一次之狀態的一例。處理對象表面存在抑制劑即CF膜,因此未化學吸附前驅體氣體(含矽氣體),而不進行ALD所行之成膜。相反地,由於ALD循環中之利用氧電漿產生之氧自由基的影響,而從處理對象表面逐漸去除CF膜。FIG. 3B shows an example of a state where the ALD cycle is executed once for the processing object shown in FIG. 3A. A CF film is present on the surface of the object to be treated, so the precursor gas (silicon-containing gas) is not chemically adsorbed, and ALD does not perform film formation. On the contrary, due to the influence of oxygen radicals generated by using oxygen plasma in the ALD cycle, the CF film is gradually removed from the surface of the treatment object.

圖3C顯示針對圖3A所示之處理對象而將ALD循環執行5次之狀態下的一例。圖3C的例之中,已藉由5次ALD循環而去除CF膜全部。FIG. 3C shows an example in a state where the ALD cycle is executed five times for the processing object shown in FIG. 3A. In the example of FIG. 3C, all the CF films have been removed by 5 ALD cycles.

圖3D、圖3E、圖3F各自顯示針對圖3A所示之處理對象而將ALD循環執行6次、8次、10次之狀態下的一例。如圖3C所示,利用將ALD循環執行5次而去除CF膜,並使CF膜下的層露出。此狀態下之處理對象表面,存在前驅體氣體可化學吸附之物質,因此前驅體氣體進行化學吸附而與反應氣體反應,並如圖3D所示,開始成膜。其後,如圖3E、圖3F所示,每次執行ALD循環,則膜厚增加。圖3D、圖3E、圖3F中,自上起算第二層的圓表示含Si前驅體氣體,且最上的圓表示氧原子。FIGS. 3D, 3E, and 3F each show an example of a state in which the ALD cycle is executed 6 times, 8 times, and 10 times for the processing object shown in FIG. 3A. As shown in FIG. 3C, the CF film is removed by performing the ALD cycle five times, and the layer under the CF film is exposed. In the surface to be treated in this state, there is a substance that can be chemically adsorbed by the precursor gas. Therefore, the precursor gas undergoes chemical adsorption to react with the reaction gas, and as shown in FIG. 3D, film formation begins. Thereafter, as shown in FIGS. 3E and 3F, each time the ALD cycle is performed, the film thickness increases. In FIGS. 3D, 3E, and 3F, the circle of the second layer from the top represents the Si-containing precursor gas, and the uppermost circle represents the oxygen atom.

>X-Y圖案之控制> 然而,半導體裝置製造時,在基板上形成各樣圖案。例如,會有在一基板上形成複數之具有同樣形狀的開口部之情形。此情形下,開口部的尺寸之精密控制對半導體裝置的性能造成影響。>Control of X-Y pattern> However, when manufacturing semiconductor devices, various patterns are formed on the substrate. For example, a plurality of openings having the same shape may be formed on a substrate. In this case, the precise control of the size of the opening affects the performance of the semiconductor device.

圖4A用以說明形成於遮罩的開口部之尺寸控制。圖4A所示之基板S係藉由自對準型雙重圖案化來形成。因此,在基板S的表面,以各自不同種類的材料A(芯)、材料B(間隔件)、材料C(填充物)所形成之線條係依A、B、C、B、A、B、C、B、A之順序排列。以下,將以材料A形成之線條稱作線條A、以材料B形成之線條稱作線條B、以材料C形成之線條稱作線條C。在此,吾人考慮使用圖4A中以點線表示之形狀之遮罩來執行基板S的蝕刻。圖4A中顯示有:開口部O1、O2、O4、O5、O6、O7,形成為二個端部分別位在彼此有別的線條C上;以及開口部O3,形成為二個端部分別位在彼此有別的線條A上。此外,於說明的便宜上,將圖4A至圖4C所示之線條的長邊方向稱作X1方向,並將橫切線條之方向稱作Y1方向。FIG. 4A is used to explain the size control of the opening formed in the mask. The substrate S shown in FIG. 4A is formed by self-aligned double patterning. Therefore, on the surface of the substrate S, the lines formed by different materials A (core), B (spacers), and C (fillers) are formed according to A, B, C, B, A, B, The order of C, B, A is arranged. Hereinafter, the line formed with the material A is called line A, the line formed with the material B is called line B, and the line formed with the material C is called line C. Here, we consider using a mask of a shape indicated by a dotted line in FIG. 4A to perform etching of the substrate S. 4A shows: the openings O1, O2, O4, O5, O6, O7 are formed so that the two ends are located on lines C different from each other; and the opening O3 is formed as the two ends are respectively located On line A that is different from each other. For the convenience of description, the long-side direction of the lines shown in FIGS. 4A to 4C is referred to as the X1 direction, and the direction across the line is referred to as the Y1 direction.

於形成出如同圖4A所示之形狀的遮罩之情形下,其後藉由蝕刻形成之圖案的形狀亦無大問題。然而,定為如圖4B所示,遮罩的開口部係形成於從期望位置起於Y1方向上偏移的位置。圖4B用以說明形成於遮罩的開口部的一例。此情形下,當使用該遮罩而執行基板S的蝕刻時,則會有以下可能性:如圖4C所示,基板S上所形成之開口部的位置更往Y1方向偏移而不形成為將線條C加以連結。圖4C顯示使用圖4B的遮罩而進行蝕刻之情形下形成之圖案的一例。於形成有如若可調整途中形成之遮罩的開口部的尺寸圖4B所示之遮罩之情形下,為了不使如圖4C所示之不良狀況產生,,則方便。尤其,於如圖4A所示之形狀的遮罩之情形下,相較於開口部的短邊方向的尺寸控制而言,長邊方向的尺寸控制更加影響其後之配線形成。如上所述,有時將俯視下具有短邊與長邊之略矩形的開口部稱作X-Y圖案。In the case where a mask having a shape as shown in FIG. 4A is formed, the shape of the pattern formed by etching thereafter is not a big problem. However, as shown in FIG. 4B, the opening of the mask is formed at a position offset from the desired position in the Y1 direction. FIG. 4B is used to explain an example of an opening formed in the mask. In this case, when the etching of the substrate S is performed using the mask, there is a possibility that, as shown in FIG. 4C, the position of the opening formed on the substrate S is further shifted toward the Y1 direction without forming Connect line C. FIG. 4C shows an example of a pattern formed when etching is performed using the mask of FIG. 4B. In the case where the mask shown in FIG. 4B is formed if the size of the opening of the mask formed on the way can be adjusted, it is convenient in order not to cause the defect as shown in FIG. 4C. In particular, in the case of a mask having a shape as shown in FIG. 4A, the size control in the long-side direction affects the subsequent wiring formation more than the size control in the short-side direction of the opening. As described above, a slightly rectangular opening having a short side and a long side in plan view is sometimes referred to as an X-Y pattern.

圖5A用以說明X-Y圖案。圖5A係基板上所形成之X-Y圖案的局部上表面圖。圖5A所示之基板之中,俯視下略矩形之開口部係以排列複數個之狀態形成。複數之開口部具有約略同一尺寸。此外,X-Y圖案,不僅係俯視下約略矩形,亦可係俯視下約略橢圓狀。X-Y圖案定為俯視下正交之二方向(X方向、Y方向)中具有尺寸差之圖案。FIG. 5A is used to explain the X-Y pattern. FIG. 5A is a partial upper surface view of the X-Y pattern formed on the substrate. In the substrate shown in FIG. 5A, the slightly rectangular openings in a plan view are formed in a state where a plurality of openings are arranged. The plural openings have approximately the same size. In addition, the X-Y pattern is not only approximately rectangular in plan view but also approximately oval in plan view. The X-Y pattern is defined as a pattern having a size difference in two orthogonal directions (X direction and Y direction) in plan view.

圖5B用以說明X-Y圖案的尺寸控制例1。圖5C用以說明X-Y圖案的尺寸控制2。圖5B的例,係於維持短邊X的開口尺寸之情況下,縮小長邊Y的開口尺寸之控制例(X>Y收縮:以相較於X邊而言,Y邊的減少量更多之方式,縮小開口部)。圖5B的例,於X-Y圖案形成後,以Y邊變短之方式在基板上成膜。另一方面,圖5C的例,係於縮小短邊X的開口尺寸之情況下,維持長邊Y的開口尺寸之控制例(X>Y收縮:以相較於Y邊而言,X邊的減少量更多之方式,縮小開口部)。圖5C的例,於X-Y圖案形成後,以X邊變短之方式在基板上成膜。FIG. 5B is used to explain the size control example 1 of the X-Y pattern. FIG. 5C is used to explain the size control 2 of the X-Y pattern. The example of FIG. 5B is a control example of reducing the opening size of the long side Y while maintaining the opening size of the short side X (X>Y contraction: compared with the X side, the reduction of the Y side is more Way, narrow the opening). In the example of FIG. 5B, after the X-Y pattern is formed, a film is formed on the substrate so that the Y side becomes shorter. On the other hand, the example of FIG. 5C is an example of control to maintain the opening size of the long side Y when the opening size of the short side X is reduced (X>Y shrinkage: compared to the Y side, the X side The way to reduce the amount more, narrow the opening). In the example of FIG. 5C, after the X-Y pattern is formed, a film is formed on the substrate so that the X side becomes shorter.

為了不使圖4C所示之不良狀況產生,只要利用執行圖4B的遮罩的開口部之X>Y收縮(參照圖5C),而盡可能縮小開口部之Y邊的減少量即可。In order not to cause the defect shown in FIG. 4C, it is only necessary to use the X>Y contraction of the opening of the mask of FIG. 4B (see FIG. 5C), and to minimize the reduction of the Y side of the opening as much as possible.

>實施形態> 鑒於上述,實施形態之電漿處理裝置,使用於將具有因應於形成於基板上之圖案的狀態之膜厚差之第一膜利用CVD成膜後,採用使第一膜作為抑制劑而發揮功能之材料來進行ALD循環,而將第二膜加以成膜。電漿處理裝置,將例如使用載入效果而將具有膜厚差之第一膜利用CVD成膜。其後,當執行ALD循環時,則第一膜逐漸因電漿的影響而削減,但產生因應於第一膜的膜厚之潛伏時間。因此,在例如厚幅形成有第一膜之位置則薄幅形成第二膜,在薄幅形成有第一膜之位置則厚幅形成第二膜。如上所述,本實施形態之電漿處理裝置,使用潛伏與載入效果而實現精密尺寸控制。>Implementation> In view of the above, the plasma processing apparatus of the embodiment is used for forming a first film having a film thickness difference corresponding to a state of a pattern formed on a substrate by CVD, and using the first film as an inhibitor to function The material is used for ALD cycle, and the second film is formed. In the plasma processing apparatus, for example, a first film having a difference in film thickness is formed by CVD using a loading effect. Thereafter, when the ALD cycle is performed, the first film is gradually reduced due to the influence of the plasma, but a latent time corresponding to the film thickness of the first film is generated. Therefore, for example, where the first film is formed thickly, the second film is formed thinly, and where the first film is formed thinly, the second film is formed thickly. As described above, the plasma processing apparatus of this embodiment uses latent and loading effects to achieve precise dimensional control.

>實施形態之電漿處理裝置的一例> 圖1顯示一實施形態之電漿處理裝置10的構成的一例。本實施例中之電漿處理裝置10,例如圖1所示,具有:腔室21,由表面已陽極氧化處理之鋁等所形成,且在內部劃定略圓筒狀的處理空間。腔室21係保安接地。本實施例中之電漿處理裝置10,例如構成為電容耦合型平行板電漿處理裝置。在腔室21內,隔著以陶瓷等形成之絕緣板22而配置支持台23。支持台23上設有:基座24,例如以鋁等形成,且作為下部電極而發揮功能。>One example of plasma processing apparatus of embodiment> FIG. 1 shows an example of the configuration of a plasma processing apparatus 10 of an embodiment. The plasma processing apparatus 10 in this embodiment, as shown in FIG. 1 for example, has a chamber 21 formed of aluminum or the like anodized on the surface, and defines a slightly cylindrical processing space inside. The chamber 21 is grounded for security. The plasma processing apparatus 10 in this embodiment is configured as a capacitively coupled parallel plate plasma processing apparatus, for example. In the chamber 21, a support table 23 is arranged via an insulating plate 22 formed of ceramic or the like. The support table 23 is provided with a base 24 formed of, for example, aluminum or the like, and functions as a lower electrode.

基座24的略中央上部,設有:靜電夾盤25,利用靜電力而吸附固持處理對象的一例即半導體晶圓W。靜電夾盤25具有利用一對絕緣層夾著以導電膜等形成之電極26之構造。電極26電性連接有直流電源27。此外,靜電夾盤25亦可設有為了加熱半導體晶圓W之未圖示的加熱器。At a slightly upper center portion of the susceptor 24, an electrostatic chuck 25 is provided to attract and hold the semiconductor wafer W which is an example of the processing target by electrostatic force. The electrostatic chuck 25 has a structure in which an electrode 26 formed of a conductive film or the like is sandwiched between a pair of insulating layers. The electrode 26 is electrically connected to a DC power supply 27. In addition, the electrostatic chuck 25 may be provided with a heater (not shown) for heating the semiconductor wafer W.

基座24的上部以圍繞靜電夾盤25之方式配置有聚焦環25a。藉由聚焦環25a而提昇半導體晶圓W邊緣附近中之電漿的均勻性。聚焦環25a由例如單晶矽等形成。支持台23及基座24的周圍以圍繞支持台23及基座24之方式設有內壁構件28。內壁構件28例如由石英等形成為略圓筒狀。A focusing ring 25a is arranged on the upper part of the base 24 so as to surround the electrostatic chuck 25. The uniformity of the plasma in the vicinity of the edge of the semiconductor wafer W is improved by the focus ring 25a. The focus ring 25a is formed of, for example, single crystal silicon. An inner wall member 28 is provided around the support table 23 and the base 24 so as to surround the support table 23 and the base 24. The inner wall member 28 is formed in a substantially cylindrical shape, for example, from quartz or the like.

支持台23的內部例如沿著支持台23的周向而形成有冷媒室29。從設在外部之未圖示之冷卻單元,經由配管30a及配管30b而將預定溫度的冷媒循環供給至冷媒室29。可藉由使預定溫度的冷媒循環在冷媒室29內,而利用與冷媒之熱交換來將靜電夾盤25上的半導體晶圓W控制為預定溫度。又,由未圖示之氣體供給機構供給之傳熱氣體,經由配管31而供給至靜電夾盤25的上表面與靜電夾盤25上所載置之半導體晶圓W的背面之間。傳熱氣體例如係氦氣。The support table 23 has, for example, a refrigerant chamber 29 formed along the circumferential direction of the support table 23. From a cooling unit (not shown) provided outside, a refrigerant of a predetermined temperature is circulated and supplied to the refrigerant chamber 29 via the piping 30a and the piping 30b. The semiconductor wafer W on the electrostatic chuck 25 can be controlled to a predetermined temperature by circulating the refrigerant at a predetermined temperature in the refrigerant chamber 29 and utilizing heat exchange with the refrigerant. In addition, the heat transfer gas supplied by the gas supply mechanism (not shown) is supplied between the upper surface of the electrostatic chuck 25 and the back surface of the semiconductor wafer W mounted on the electrostatic chuck 25 through the pipe 31. The heat transfer gas is, for example, helium.

作為下部電極而發揮功能之基座24的上方,以隔著腔室21內的處理空間而與基座24相向之方式設有上部電極40。上部電極40與基座24之間的空間、且由腔室21圍繞的空間,係產生電漿之處理空間。上部電極40具有作為電極本體部而發揮功能之頂板42、及將頂板42加以支持之頂板支持部41。An upper electrode 40 is provided above the susceptor 24 that functions as a lower electrode so as to face the susceptor 24 across the processing space in the chamber 21. The space between the upper electrode 40 and the pedestal 24 and the space surrounded by the chamber 21 is a processing space where plasma is generated. The upper electrode 40 has a top plate 42 that functions as an electrode body, and a top plate support portion 41 that supports the top plate 42.

頂板支持部41隔著絕緣性構件45而由腔室21的上部所支持。頂板支持部41,例如藉由表面已陽極氧化處理之鋁等之熱傳導性較高之導電性材料而形成為略圓板狀。又,頂板支持部41亦作為將產生在處理空間之電漿所加熱之頂板42加以冷卻之冷卻板而發揮功能。頂板支持部41形成有:氣體導入口46,導入處理氣體;擴散室43,使從氣體導入口46導入之處理氣體進行擴散;以及複數之流通口43a,係使擴散在擴散室43內之處理氣體向下方流通之流道。The top plate supporting portion 41 is supported by the upper portion of the chamber 21 via the insulating member 45. The top plate supporting portion 41 is formed into a substantially circular plate shape by, for example, a conductive material having high thermal conductivity such as aluminum anodized on the surface. In addition, the top plate supporting portion 41 also functions as a cooling plate for cooling the top plate 42 heated by the plasma generated in the processing space. The top plate supporting portion 41 is formed with: a gas introduction port 46 to introduce a processing gas; a diffusion chamber 43 to diffuse the treatment gas introduced from the gas introduction port 46; and a plurality of flow ports 43a to diffuse the treatment in the diffusion chamber 43 The flow path for gas to flow downward.

頂板42係由例如石英等含矽物質而形成為略圓板狀。頂板42形成有:複數之氣體導入口42a,沿頂板42的厚度方向貫穿頂板42。將各氣體導入口42a配置成連通至頂板支持部41的任意流通口43a。藉此,供給至擴散室43內之處理氣體,經由流通口43a及氣體導入口42a而呈噴淋狀擴散供給至腔室21內。The top plate 42 is formed of a silicon-containing substance such as quartz and has a substantially circular plate shape. The top plate 42 is formed with a plurality of gas inlets 42a, which penetrate the top plate 42 in the thickness direction of the top plate 42. Each gas introduction port 42a is arranged so as to communicate with any circulation port 43a of the top plate supporting part 41. As a result, the processing gas supplied into the diffusion chamber 43 is diffused and supplied into the chamber 21 through the flow port 43a and the gas introduction port 42a in a spray-like manner.

頂板支持部41的氣體導入口46經由配管47而連接有複數之閥50a~50c。閥50a經由質流控制器(MFC)49a而連接有氣體供給源48a。於閥50a控制為開狀態即開啟狀態之情形下,由氣體供給源48a供給之處理氣體係藉由MFC49a而控制流量,並經由配管47而供給至腔室21內。氣體供給源48a例如將前驅體氣體供給至腔室21內。A plurality of valves 50 a to 50 c are connected to the gas introduction port 46 of the top plate support 41 via a pipe 47. The valve 50a is connected to a gas supply source 48a via a mass flow controller (MFC) 49a. When the valve 50 a is controlled to be in an open state, that is, an open state, the process gas system supplied by the gas supply source 48 a controls the flow rate by the MFC 49 a and is supplied into the chamber 21 through the pipe 47. The gas supply source 48a supplies the precursor gas into the chamber 21, for example.

又,閥50b經由MFC49b而連接有氣體供給源48b。於閥50b控制為開狀態之情形下,由氣體供給源48b供給之氣體係藉由MFC49b而控制流量,並經由配管47而供給至腔室21內。氣體供給源48b例如將氣滌氣體供給至腔室21內。就氣滌氣體而言,例如使用氬氣或氮氣等非活性氣體。In addition, the valve 50b is connected to the gas supply source 48b via the MFC 49b. When the valve 50b is controlled to be in an open state, the gas system supplied by the gas supply source 48b controls the flow rate by the MFC 49b, and is supplied into the chamber 21 through the pipe 47. The gas supply source 48b supplies scrubbing gas into the chamber 21, for example. As the scrubbing gas, for example, inert gas such as argon or nitrogen is used.

又,閥50c經由MFC49c而連接有氣體供給源48c。於閥50c控制為開狀態之情形下,由氣體供給源48c供給之氣體係藉由MFC49c而控制流量,並經由配管47而供給至腔室21內。氣體供給源48c例如將反應氣體供給至腔室21內。In addition, the valve 50c is connected to the gas supply source 48c via the MFC 49c. When the valve 50c is controlled to be in an open state, the gas system supplied by the gas supply source 48c controls the flow rate by the MFC 49c and is supplied into the chamber 21 through the pipe 47. The gas supply source 48c supplies the reaction gas into the chamber 21, for example.

此外,亦可於將前驅體氣體及反應性氣體供給至腔室21之際,使用添加氣體,目的在於前驅體氣體及反應性氣體之使用量的削減、及腔室21的內部中之氣體分布之均勻化等生產性。就添加氣體而言,可使用例如氬氣或氮氣等非活性氣體。例如,亦可將經由閥50b及MFC49b而從氣體供給源48b供給之非活性氣體添加至經由閥50a及MFC49a而從氣體供給源48a供給之前驅體氣體。又,例如,亦可將經由閥50b及MFC49b而從氣體供給源48b供給之非活性氣體添加至經由閥50c及MFC49c而從氣體供給源48c供給之反應氣體。In addition, when the precursor gas and the reactive gas are supplied to the chamber 21, an additive gas may be used for the purpose of reducing the amount of the precursor gas and the reactive gas used, and the gas distribution in the interior of the chamber 21 Productivity such as homogenization. As for the added gas, an inert gas such as argon gas or nitrogen gas can be used. For example, the inert gas supplied from the gas supply source 48b via the valve 50b and MFC 49b may be added to the precursor gas supplied from the gas supply source 48a via the valve 50a and MFC 49a. In addition, for example, the inert gas supplied from the gas supply source 48b through the valve 50b and the MFC 49b may be added to the reaction gas supplied from the gas supply source 48c through the valve 50c and the MFC 49c.

藉由後述控制裝置60而控制各個MFC49a~49c所行之各氣體的流量之調整、及各個閥50a~50c之開閉。The adjustment of the flow rate of each gas performed by each MFC 49a to 49c and the opening and closing of each valve 50a to 50c are controlled by the control device 60 described later.

上部電極40經由匹配器51而電性連接有射頻電源52。射頻電源52將例如40MHz上下之電漿激發用的射頻電力(HF:High Frequency)供給至上部電極40。利用後述控制裝置60控制由射頻電源52供給之射頻電力。The upper electrode 40 is electrically connected to a radio frequency power supply 52 via a matching device 51. The radio frequency power supply 52 supplies radio frequency power (HF: High Frequency) for plasma excitation up and down to 40 MHz, for example, to the upper electrode 40. The radio frequency power supplied from the radio frequency power source 52 is controlled by the control device 60 described later.

作為下部電極而發揮功能之基座24經由匹配器33而電性連接有射頻電源34。射頻電源34將偏壓用的射頻電力(LF:Low Frequency)施加至基座24。射頻電源34將13.56MHz以下之頻率例如2MHz之射頻電力經由匹配器33而供給至基座24。藉由將射頻電力供給至基座24,而使電漿中的離子等活性物種拉入至靜電夾盤25上的半導體晶圓W。利用後述控制裝置60而控制由射頻電源34供給之射頻電力。The base 24 functioning as a lower electrode is electrically connected to a radio frequency power source 34 via a matching device 33. The radio frequency power supply 34 applies radio frequency power (LF: Low Frequency) for bias to the base 24. The radio frequency power supply 34 supplies radio frequency power with a frequency below 13.56 MHz, for example, 2 MHz, to the base 24 via the matching device 33. By supplying radio frequency power to the susceptor 24, active species such as ions in the plasma are drawn into the semiconductor wafer W on the electrostatic chuck 25. The radio frequency power supplied from the radio frequency power source 34 is controlled by the control device 60 described later.

腔室21的側壁形成有開口78,且開口78連接有配管38。配管38分歧為二,一者連接有閥37a的一端,另一者連接有閥37b的一端。閥37a的另一端經由配管38a而連接至壓力計36a,且閥37b的另一端經由配管38b而連接至壓力計36b。壓力計36a及36b例如係電容壓力計。The side wall of the chamber 21 has an opening 78 formed therein, and the opening 78 is connected to a pipe 38. The piping 38 is divided into two, one is connected to one end of the valve 37a, and the other is connected to one end of the valve 37b. The other end of the valve 37a is connected to the pressure gauge 36a via the pipe 38a, and the other end of the valve 37b is connected to the pressure gauge 36b via the pipe 38b. The pressure gauges 36a and 36b are, for example, capacitive pressure gauges.

藉由將閥37a控制為開狀態,而連通配管38與配管38a。藉此,經由腔室21的側壁所形成之開口78,而使壓力計36a曝露於腔室21內的處理空間。藉此,壓力計36a可量測處理空間內的壓力。另一方面,藉由將閥37a控制為閉狀態即關閉狀態,而阻斷配管38與配管38a。藉此,對於腔室21內的處理空間而言,壓力計36a被遮蔽。By controlling the valve 37a to be in an open state, the piping 38 and the piping 38a are communicated. Thereby, the pressure gauge 36a is exposed to the processing space in the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36a can measure the pressure in the processing space. On the other hand, by controlling the valve 37a to be a closed state, that is, a closed state, the piping 38 and the piping 38a are blocked. As a result, the pressure gauge 36a is shielded from the processing space in the chamber 21.

又,藉由將閥37b控制為開狀態,而連通配管38與配管38b。藉此,經由腔室21的側壁所形成之開口78,而將壓力計36b曝露於腔室21內的處理空間。藉此,壓力計36b可量測處理空間內的壓力。另一方面,藉由將閥37b控制為閉狀態,而阻斷配管38與配管38b。藉此,對於腔室21內的處理空間而言,壓力計36b被遮蔽。利用後述控制裝置60而進行閥37a及37b之開閉控制。Furthermore, by controlling the valve 37b to be in an open state, the piping 38 and the piping 38b are connected. Thereby, the pressure gauge 36b is exposed to the processing space in the chamber 21 through the opening 78 formed in the side wall of the chamber 21. Thereby, the pressure gauge 36b can measure the pressure in the processing space. On the other hand, by controlling the valve 37b to be closed, the piping 38 and the piping 38b are blocked. As a result, the pressure gauge 36b is shielded from the processing space in the chamber 21. The opening and closing control of the valves 37a and 37b is performed by the control device 60 described later.

腔室21的底部設有排氣口71,排氣口71經由排氣管72而連接有排氣裝置73。排氣裝置73例如具有DP(Dry Pump;乾式泵)或TMP(Turbo Molecular Pump;渦輪分子泵)等真空泵,且可將腔室21內減壓至期望真空度。藉由後述控制裝置60而控制排氣裝置73的排氣量等。例如,由氣體供給源48a將前驅體氣體供給至腔室21內之情形下,控制裝置60將閥37a控制為開狀態,並將閥37b控制為閉狀態。而且,基於由壓力計36a量測之腔室21內的壓力,來控制排氣裝置73的排氣量等,藉以將腔室21內的壓力控制為預定壓力。又例如,由氣體供給源48c將反應氣體供給至腔室21內之情形下,控制裝置60將閥37a控制為閉狀態,並將閥37b控制為開狀態。而且,基於由壓力計36b量測之腔室21內的壓力,來控制排氣裝置73的排氣量等,藉以將腔室21內的壓力控制為預定壓力。An exhaust port 71 is provided at the bottom of the chamber 21, and the exhaust port 71 is connected to an exhaust device 73 via an exhaust pipe 72. The exhaust device 73 has, for example, a vacuum pump such as DP (Dry Pump) or TMP (Turbo Molecular Pump), and can decompress the chamber 21 to a desired vacuum degree. The exhaust amount and the like of the exhaust device 73 are controlled by the control device 60 described later. For example, when precursor gas is supplied into the chamber 21 by the gas supply source 48a, the control device 60 controls the valve 37a to an open state and controls the valve 37b to a closed state. Further, based on the pressure in the chamber 21 measured by the pressure gauge 36a, the exhaust amount of the exhaust device 73 and the like are controlled, thereby controlling the pressure in the chamber 21 to a predetermined pressure. For another example, when the reaction gas is supplied into the chamber 21 by the gas supply source 48c, the control device 60 controls the valve 37a to the closed state and controls the valve 37b to the open state. Further, based on the pressure in the chamber 21 measured by the pressure gauge 36b, the exhaust amount of the exhaust device 73 and the like are controlled, thereby controlling the pressure in the chamber 21 to a predetermined pressure.

腔室21的側壁設有:開口74,用以進行半導體晶圓W的搬入及搬出。開口74藉由閘閥G而可開閉。又,腔室21的內壁沿著壁面而呈自由裝卸設有沉積障蔽76。又,內壁構件28的外周面沿著內壁構件28的外周面而呈自由裝卸設有沉積障蔽77。沉積障蔽76及77防止反應副產物(沉積物)附著至腔室21的內壁及內壁構件28。在與靜電夾盤25上所載置之半導體晶圓W約略相同高度之沉積障蔽76的位置,設有連接至接地之導電性構件(GND區塊)79。藉由GND區塊79防止腔室21內的異常放電。The side wall of the chamber 21 is provided with an opening 74 for carrying in and out the semiconductor wafer W. The opening 74 can be opened and closed by the gate valve G. Furthermore, the inner wall of the chamber 21 is provided with a deposition barrier 76 freely detachable along the wall surface. Furthermore, the outer peripheral surface of the inner wall member 28 is detachably provided with a deposition barrier 77 along the outer peripheral surface of the inner wall member 28. The deposition barriers 76 and 77 prevent reaction by-products (deposits) from adhering to the inner wall of the chamber 21 and the inner wall member 28. A conductive member (GND block) 79 connected to the ground is provided at a position of the deposition barrier 76 at approximately the same height as the semiconductor wafer W placed on the electrostatic chuck 25. The GND block 79 prevents abnormal discharge in the chamber 21.

上述電漿處理裝置10係藉由控制裝置60而將其動作統合控制。控制裝置60具有例如ROM(Read Only Memory;唯讀記憶體)或RAM(Random Access Memory;隨機存取記憶體)等記憶體61、例如CPU(Central Processing Unit;中央處理器)或DSP(Digital Signal Processor;數位訊號處理器)等處理器62、使用者介面63。使用者介面63例如包含使程序管理者等使用者為了管理電漿處理裝置10而將指令之輸入操作加以進行之鍵盤、或將電漿處理裝置10的工作狀況加以可視化顯示之顯示器等。The plasma processing device 10 is controlled by the control device 60 to control its operation. The control device 60 has a memory 61 such as ROM (Read Only Memory) or RAM (Random Access Memory), such as a CPU (Central Processing Unit) or DSP (Digital Signal) Processor; digital signal processor) and other processors 62, user interface 63. The user interface 63 includes, for example, a keyboard that allows a user such as a program manager to perform command input operations for managing the plasma processing apparatus 10, or a display that visually displays the working status of the plasma processing apparatus 10.

記憶體61儲存有:配方,包含用以在電漿處理裝置10實現各種處理之處理條件資料等;以及控制程式(軟體)。而且,處理器62因應於經由使用者介面63之來自使用者的指示而從記憶體61呼叫任意配方並執行,藉以控制電漿處理裝置10的各部。藉此,藉由電漿處理裝置10而進行成膜等期望處理。此外,包含處理條件資料等之配方或控制程式,可使用儲存在電腦可讀取之記錄媒體等之狀態者、或者使用從其它裝置例如經由通信線路而被傳送者。電腦可讀取之記錄媒體係例如硬碟、CD(Compact Disc;光碟)、DVD(Digital Versatile Disc;數位多功能影音光碟)、軟碟、半導體記憶體等。The memory 61 stores: recipes, including processing condition data for implementing various processes in the plasma processing apparatus 10; and control programs (software). In addition, the processor 62 calls an arbitrary recipe from the memory 61 and executes it in response to an instruction from the user via the user interface 63, thereby controlling each part of the plasma processing apparatus 10. Thereby, the plasma processing apparatus 10 performs desired processing such as film formation. In addition, recipes or control programs containing processing condition data, etc., can be used in a state stored in a computer-readable recording medium, etc., or can be transmitted from another device, for example, via a communication line. Computer-readable recording media are hard disks, CDs (Compact Discs), DVDs (Digital Versatile Discs), floppy disks, semiconductor memories, etc.

此外,在此,就一例而言說明使用電容耦合型電漿(CCP:Capacitively Coupled Plasma)作為電漿源之電漿處理裝置10,但本發明揭示技術不限於此,可採用使用感應耦合型電漿(ICP:Inductively Coupled Plasma)、微波電漿等任意電漿源之電漿處理裝置10。In addition, here, as an example, a plasma processing device 10 that uses a capacitively coupled plasma (CCP: Capacitively Coupled Plasma) as a plasma source will be described. However, the disclosed technology of the present invention is not limited to this, and an inductively coupled type Plasma processing device 10 for any plasma source, such as plasma (ICP: Inductively Coupled Plasma), microwave plasma, etc.

>一實施形態之電漿處理方法的流程的一例> 圖6係將一實施形態之電漿處理裝置10中之電漿處理方法的大概流程的一例加以顯示之流程圖。>An example of the flow of the plasma processing method of an embodiment> FIG. 6 is a flowchart showing an example of a rough flow of the plasma processing method in the plasma processing apparatus 10 of one embodiment.

首先,在電漿處理裝置10的腔室21內,載置處理對象(例如晶圓W)。電漿處理裝置10首先在處理對象的表面上形成遮罩層(步驟S61)。其次,電漿處理裝置10藉由蝕刻而在遮罩層形成圖案(步驟S62)。圖案例如包含具有X-Y圖案之開口部。在此,步驟S61及S62,亦可不在電漿處理裝置10內執行,而在其它裝置執行。例如,亦可於其它裝置將遮罩層與圖案形成於晶圓W後,使晶圓W移動至電漿處理裝置10的腔室21內而執行以下處理。First, in the chamber 21 of the plasma processing apparatus 10, a processing object (eg, wafer W) is placed. The plasma processing apparatus 10 first forms a mask layer on the surface to be processed (step S61). Next, the plasma processing apparatus 10 forms a pattern on the mask layer by etching (step S62). The pattern includes, for example, an opening having an X-Y pattern. Here, steps S61 and S62 may not be executed in the plasma processing apparatus 10, but may be executed in other apparatuses. For example, after the mask layer and the pattern are formed on the wafer W by another device, the wafer W may be moved into the chamber 21 of the plasma processing device 10 to perform the following processes.

其次,電漿處理裝置10,從所形成之圖案之上,執行:CVD,其使用成膜而成為抑制劑之氣體(步驟S63,第一程序)。藉由CVD而形成厚度依處理對象上之圖案的形狀而異的第一膜(以下亦稱作抑制劑層)。其次,電漿處理裝置10,從第一膜之上將ALD循環執行預定次數(步驟S64,第二程序)。藉由ALD循環,而在處理對象上形成第二膜。其後,判斷電漿處理裝置10是否滿足預定條件(步驟S65)。當判斷為滿足預定條件(步驟S65,是)時,則電漿處理裝置10結束處理。另一方面,當判斷為未滿足預定條件(步驟S65,否)時,則電漿處理裝置10返回步驟S63而重複處理。此係一實施形態之電漿處理方法的大概流程。此外,亦可構成為於步驟S64之後執行其它處理。以下說明中,亦將自步驟S63至步驟S64為止之一次處理稱作一序列。Next, the plasma processing apparatus 10 executes: CVD from the formed pattern, which uses a film-forming gas as an inhibitor (step S63, first procedure). The first film (hereinafter also referred to as an inhibitor layer) whose thickness varies according to the shape of the pattern on the processing target is formed by CVD. Next, the plasma processing apparatus 10 executes the ALD cycle a predetermined number of times from above the first film (step S64, second procedure). By the ALD cycle, a second film is formed on the processing object. Thereafter, it is determined whether the plasma processing apparatus 10 satisfies a predetermined condition (step S65). When it is determined that the predetermined condition is satisfied (step S65, YES), the plasma processing apparatus 10 ends the processing. On the other hand, when it is determined that the predetermined condition is not satisfied (step S65, No), the plasma processing apparatus 10 returns to step S63 to repeat the processing. This is a rough flow of the plasma processing method of an embodiment. In addition, it may be configured to execute other processing after step S64. In the following description, the processing from step S63 to step S64 is also referred to as a sequence.

>第一膜的膜厚> 電漿處理裝置10藉由CVD而形成之成為抑制劑層之第一膜的膜厚,係由各種要因來決定。例如,可使用載入效果,藉以使電漿處理裝置10將第一膜形成為期望膜厚。載入效果係一種因圖案的疏密度而導致成膜之膜的膜厚等變動之現象。例如,因圖案自身的大小例如開口部的開口面積而導致成膜後的開口尺寸變動。又,因位在該圖案的周圍之圖案的形狀或配置而導致成膜後的開口尺寸變動。>Film thickness of the first film> The thickness of the first film that becomes the inhibitor layer of the plasma processing apparatus 10 by CVD is determined by various factors. For example, a loading effect may be used to cause the plasma processing apparatus 10 to form the first film to a desired film thickness. The loading effect is a phenomenon that the film thickness of the film formed changes due to the density of the pattern. For example, due to the size of the pattern itself, such as the opening area of the opening, the opening size after film formation varies. Moreover, the opening size after film formation fluctuates due to the shape or arrangement of the pattern located around the pattern.

吾人認為載入效果產生之理由之一,係因為由開口部的縱橫比決定氣體等成膜材料可自開口側而入侵至開口部內之角度,就結果而言,決定進入至開口部之成膜材料的量。圖7用以說明載入效果的一例。如圖7所示,處理對象上的開口部的縱橫比係小之情形下,材料的侵入角度(Ω)變大。另一方面,開口部的縱橫比係大之情形下,材料的侵入角度變小。因此,各開口部的成膜量因應於侵入角度而變動。就結果而言,小開口之X邊上之成膜量小於大開口之Y邊上之成膜量。I think that one of the reasons for the loading effect is because the angle of the opening determines the angle at which the film-forming material such as gas can infiltrate into the opening from the side of the opening, and as a result, the film formation into the opening is determined The amount of material. FIG. 7 is used to illustrate an example of the loading effect. As shown in FIG. 7, when the aspect ratio of the opening in the processing object is small, the intrusion angle (Ω) of the material becomes large. On the other hand, when the aspect ratio of the opening is large, the penetration angle of the material becomes small. Therefore, the film formation amount of each opening varies depending on the intrusion angle. In terms of results, the amount of film formation on the X side of the small opening is smaller than the amount of film formation on the Y side of the large opening.

如上所述,例如開口部的縱橫比越小,則第一膜的膜厚越厚。又例如,開口部的立體角越大,則第一膜的膜厚越厚。又例如,第一膜的膜厚因應於開口部的寬窄或深度而變動。例如,開口部越廣而淺則第一膜的膜厚越厚。又,第一膜的膜厚因應於處理對象上所形成之圖案的疏密、線徑與間距(L/S)等而變動。As described above, for example, the smaller the aspect ratio of the opening, the thicker the film thickness of the first film. For another example, the larger the solid angle of the opening, the thicker the film thickness of the first film. For another example, the film thickness of the first film varies depending on the width or depth of the opening. For example, the wider and shallower the opening, the thicker the first film. In addition, the film thickness of the first film varies depending on the density, line diameter and pitch (L/S) of the pattern formed on the processing object.

此外,實施形態之電漿處理所形成之第一膜的材料,只要係將第二膜之成膜加以妨礙的材料則不特別限定。例如,第一膜係疏水性的膜。又例如,第一膜係含有氟(F)的膜。又例如,第一膜係由含有碳氟化合物之氣體所形成之膜。又例如,第一膜係由不含氫之氣體所形成之膜。又例如,第一膜係將處理對象表面加以改質之改質膜。In addition, the material of the first film formed by the plasma treatment of the embodiment is not particularly limited as long as it prevents the film formation of the second film. For example, the first film is a hydrophobic film. For another example, the first film is a film containing fluorine (F). For another example, the first film is a film formed of a gas containing fluorocarbon. For another example, the first film is a film formed of a hydrogen-free gas. For another example, the first film is a modified film that modifies the surface to be treated.

>第二膜的膜厚> 第二膜形成時,第一膜作為抑制劑層而發揮功能,妨礙前驅體氣體的化學吸附。因此,第二膜的膜厚因應於第一膜的膜厚而受控制。>Thickness of the second film> When the second film is formed, the first film functions as an inhibitor layer, preventing the chemical adsorption of the precursor gas. Therefore, the film thickness of the second film is controlled according to the film thickness of the first film.

例如,定為因上述載入效果而使第一膜薄幅形成於X邊上,且厚幅形成於Y邊上。此情形下,當從第一膜之上執行ALD循環而形成第二膜時,則X邊上的第一膜因ALD循環而被去除所消耗之時間短於Y邊上的第一膜因ALD循環而被去除所消耗之時間。如此一來,則在X邊上由ALD循環所成之第二膜之形成開始的時機早於在Y邊上由ALD循環所成之第二膜之形成開始的時機。就結果而言,若X邊及Y邊皆執行相同次數的ALD循環,則形成於X邊上之第二膜的膜厚厚於形成於Y邊上之第二膜的膜厚。For example, it is assumed that the first film has a thin width on the X side and a thick width on the Y side due to the above loading effect. In this case, when the ALD cycle is performed from above the first film to form the second film, the first film on the X side is removed due to the ALD cycle in less time than the first film on the Y side due to ALD The time it takes for the cycle to be removed. In this way, the timing of the formation of the second film formed by the ALD cycle on the X side is earlier than the timing of the formation of the second film formed by the ALD cycle on the Y side. As a result, if both the X side and the Y side perform the same number of ALD cycles, the film thickness of the second film formed on the X side is thicker than the film thickness of the second film formed on the Y side.

例如,將形成於Y邊上之第一膜的膜厚定為A、並將形成於X邊上之第一膜的膜厚定為B(其中A>B)。而且,將第二程序(步驟S64)之中隨一次ALD循環而被去除之第一膜的膜厚定為x、隨一次ALD循環而形成之第二膜的膜厚定為y。而且,定為A=10x、B=2x。此情形下,當於步驟S64執行ALD循環12次後,則形成於Y邊上之第二膜的膜厚成為2y、形成於X邊上之第二膜的膜厚成為10y。其中,於第一程序(步驟S63)形成之第一膜隨一次ALD循環一次被去除之量(膜厚)係與利用一次ALD循環而形成之第二膜的膜厚不同(x≠y)。因此,可考慮第二程序中之第一膜的去除量與第二膜的形成量,而調整第一程序及第二程序的處理條件例如處理時間或循環次數。For example, let the film thickness of the first film formed on the Y side be A, and let the film thickness of the first film formed on the X side be B (where A>B). Furthermore, the film thickness of the first film removed with one ALD cycle in the second procedure (step S64) is set to x, and the film thickness of the second film formed with one ALD cycle is set to y. Furthermore, A=10x and B=2x. In this case, after performing the ALD cycle 12 times in step S64, the film thickness of the second film formed on the Y side becomes 2y, and the film thickness of the second film formed on the X side becomes 10y. The amount (film thickness) of the first film formed in the first procedure (step S63) removed with one ALD cycle is different from the film thickness of the second film formed by one ALD cycle (x≠y). Therefore, the amount of removal of the first film and the amount of formation of the second film in the second procedure can be considered, and the processing conditions such as the processing time or the number of cycles of the first procedure and the second procedure can be adjusted.

因此,若可使用載入效果而將與圖5B的基板上所形成之膜係同樣形狀的抑制劑層加以形成,則可藉由其後之ALD循環而實現X>Y收縮。又,若可將與圖5C的基板上所形成之膜係同樣形狀的抑制劑層加以形成,則可藉由其後之ALD循環而實現X>Y收縮。Therefore, if the loading effect can be used to form an inhibitor layer of the same shape as the film formed on the substrate of FIG. 5B, X>Y shrinkage can be achieved by subsequent ALD cycles. In addition, if an inhibitor layer having the same shape as the film formed on the substrate of FIG. 5C can be formed, X>Y contraction can be achieved by the subsequent ALD cycle.

圖8A及圖8B用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果。圖8A概略性顯示將圖6所示之步驟S63及S64重複三次而在X邊形成第二膜之狀態。又,圖8B概略性顯示將圖6所示之步驟S63及S64重複3次而在Y邊形成第二膜之狀態。於任一情形下,皆於步驟S63執行一次CVD而形成CF膜後,而於步驟S64重複執行預定次數之ALD循環,並將此步驟S63與步驟S64之序列重複三次來執行。8A and 8B are used to explain the X>Y shrinkage effect obtained by the plasma processing method of an embodiment. FIG. 8A schematically shows a state where steps S63 and S64 shown in FIG. 6 are repeated three times to form a second film on the X side. In addition, FIG. 8B schematically shows a state where steps S63 and S64 shown in FIG. 6 are repeated three times to form a second film on the Y side. In any case, after performing CVD once to form a CF film in step S63, repeating the predetermined number of ALD cycles in step S64, and repeating the sequence of steps S63 and S64 three times.

如圖8A所示,X邊之中,藉由夾著X邊而相向之側壁上所形成之第二膜,而使X邊的長度平均減少8.12奈米[nm]。亦即,側壁上形成有平均8.12奈米之第二膜。另一方面,Y邊之中,藉由夾著Y邊而相向之側壁上所形成之第二膜,而使Y邊的長度平均減少6.37奈米。亦即,側壁上形成有平均6.37奈米的第二膜。吾人由圖8A及圖8B而知悉:可利用重複執行步驟S63及S64,而於使X邊的開口尺寸相較於Y邊的開口尺寸而言大程度減少之情況下,使兩者的開口尺寸減少。亦即,吾人知悉可實現X>Y收縮。又,可藉由進一步增加步驟S63及S64的執行次數,來增加X>Y收縮效果。As shown in FIG. 8A, among the X-sides, the length of the X-side is reduced by an average of 8.12 nanometers [nm] by the second film formed on the opposing side walls sandwiching the X-side. That is, a second film with an average of 8.12 nm is formed on the sidewall. On the other hand, among the Y side, the length of the Y side is reduced by an average of 6.37 nm by the second film formed on the side wall facing the Y side. That is, a second film with an average of 6.37 nm is formed on the side wall. I know from FIGS. 8A and 8B that steps S63 and S64 can be performed repeatedly, and when the opening size of the X side is greatly reduced compared to the opening size of the Y side, the opening size of both cut back. That is, I know that X>Y contraction can be achieved. Furthermore, the X>Y contraction effect can be increased by further increasing the number of executions of steps S63 and S64.

>基板其它材料例> 本實施形態之電漿處理方法可應用於以各樣材料形成之處理對象。>Examples of other substrate materials> The plasma processing method of this embodiment can be applied to processing objects formed of various materials.

圖9顯示將本實施形態的電漿處理方法加以應用之處理對象的材料組合。在此,定為針對在基板上依順序形成有被蝕刻層與遮罩之處理對象,為了遮罩之尺寸控制而應用本實施形態的電漿處理方法來形成第二膜。此外,被蝕刻層與基板之間亦可形成停止層。FIG. 9 shows a combination of materials to be processed by applying the plasma processing method of this embodiment. Here, it is assumed that for the processing target in which the etched layer and the mask are sequentially formed on the substrate, the plasma processing method of this embodiment is applied to form the second film in order to control the size of the mask. In addition, a stop layer may be formed between the etched layer and the substrate.

此情形下,例如,可在矽基板上形成氮化矽(SiN)、矽(Si)或矽鍺(SiGe)之被蝕刻層,並形成二氧化矽(SiO2 )之遮罩。此情形下,可將二氧化矽(SiO2 )作為第二膜使用。In this case, for example, an etched layer of silicon nitride (SiN), silicon (Si), or silicon germanium (SiGe) may be formed on a silicon substrate, and a mask of silicon dioxide (SiO 2 ) may be formed. In this case, silicon dioxide (SiO 2 ) can be used as the second film.

又,可在被蝕刻層使用SiO2 ,在遮罩使用SiN,並在第二膜使用SiN。又,可在被蝕刻層使用SiO2 ,在遮罩使用氮化鈦(TiN)、碳化鎢(WC)或二氧化鋯(ZrO2 )。此情形下,可將TiN或WC作為第二膜使用。In addition, SiO 2 may be used for the layer to be etched, SiN may be used for the mask, and SiN may be used for the second film. In addition, SiO 2 may be used for the etched layer, and titanium nitride (TiN), tungsten carbide (WC), or zirconium dioxide (ZrO 2 ) may be used for the mask. In this case, TiN or WC can be used as the second film.

任一材料組合之情形下,皆可使用CCP等裝置而實現處理。In the case of any combination of materials, devices such as CCP can be used for processing.

又,上述實施形態的電漿處理方法,不只應用於基板上依序形成有被蝕刻層與遮罩之處理對象,亦可應用於其它構成之處理對象。例如,可應用於矽基板上依序形成有被蝕刻層、有機層、含矽反射防止層等、且在反射防止層上形成有光阻等遮罩層之處理對象。此情形下,亦可例如在基板上插設藉由多重圖案化形成之層。而且,亦可使用上述實施形態之電漿處理方法而調整遮罩的圖案尺寸,俾使形成於遮罩之圖案係與藉由多重圖案化而形成之層的各線條整齊排列。上述實施形態的電漿處理方法可用以藉由調整遮罩的圖案尺寸,來精密調整將通孔或接觸部加以形成之位置。In addition, the plasma processing method of the above-described embodiment is not only applicable to processing objects in which an etched layer and a mask are sequentially formed on a substrate, but also applicable to processing objects of other structures. For example, it can be applied to a processing object in which an etched layer, an organic layer, a silicon-containing antireflection layer, etc. are sequentially formed on a silicon substrate, and a mask layer such as a photoresist is formed on the antireflection layer. In this case, for example, a layer formed by multiple patterning may be interposed on the substrate. Furthermore, the plasma processing method of the above embodiment may be used to adjust the pattern size of the mask so that the pattern formed on the mask is aligned with the lines of the layer formed by multiple patterning. The plasma processing method of the above embodiment can be used to precisely adjust the position where the through hole or the contact portion is formed by adjusting the pattern size of the mask.

>實施形態的效果> 上述實施形態之電漿處理方法包含第一程序與第二程序。第一程序之中,電漿處理裝置,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜。第一程序後的第二程序之中,電漿處理裝置,將成膜循環施行一次以上,並形成厚度依前述相向之成對側壁彼此之間隔而異的第二膜。因此,電漿處理裝置,可在處理對象上,形成具有因應於圖案的狀態之膜厚差之第二膜。因此,實施形態之電漿處理裝置,即使於不易利用一次程序而形成具有期望膜厚差之第二膜之情形下,亦可使用載入效果或潛伏而形成具有期望膜厚差之第二膜。因此,實施形態之電漿處理裝置可實現形成於基板上之圖案之精密尺寸控制。>Effect of implementation form> The plasma processing method of the above embodiment includes a first program and a second program. In the first procedure, the plasma processing apparatus forms a first film on the side wall of the opening portion of the processing object whose thickness varies according to the interval between the pair of side walls facing each other. In the second process after the first process, the plasma processing device circulates the film formation more than once, and forms a second film with a thickness that varies according to the spacing between the pair of opposing side walls. Therefore, the plasma processing apparatus can form a second film having a film thickness difference according to the state of the pattern on the processing object. Therefore, the plasma processing apparatus of the embodiment can form a second film having a desired film thickness difference using a loading effect or latent even in a case where it is difficult to form a second film having a desired film thickness difference using a single procedure . Therefore, the plasma processing apparatus of the embodiment can realize precise dimensional control of the pattern formed on the substrate.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序,在以比處理對象上所形成之成對第一側壁更窄的間隔相向之成對第二側壁上,形成比成對第一側壁上所形成之第一膜更薄的第一膜。又,電漿處理裝置,於第二程序,在成對第二側壁上,形成比成對第一側壁上所形成之第二膜更厚之第二膜。因此,實施形態之電漿處理裝置,可依照空著不同間隔相向之成對側壁每者,調整膜厚而進行尺寸控制,可提昇圖案精度。Also, in the plasma processing method of the embodiment, the plasma processing apparatus is formed on the pair of second side walls facing each other at a narrower interval than the pair of first side walls formed on the processing target in the first procedure A first film that is thinner than the first film formed on the pair of first side walls. Furthermore, in the plasma processing apparatus, in the second procedure, a second film that is thicker than the second film formed on the pair of first side walls is formed on the pair of second side walls. Therefore, the plasma processing apparatus of the embodiment can adjust the film thickness and size control according to each of the paired side walls facing each other at different intervals, which can improve the pattern accuracy.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序形成含有成膜循環中之第二膜之形成的妨礙因子之成分之第一膜。因此,實施形態之電漿處理裝置,可藉由第一膜的膜厚,而精密控制其後形成之第二膜的膜厚。Further, in the plasma processing method of the embodiment, the plasma processing apparatus forms the first film containing the component of the hindering factor for the formation of the second film in the film formation cycle in the first step. Therefore, in the plasma processing apparatus of the embodiment, the film thickness of the second film formed thereafter can be precisely controlled by the film thickness of the first film.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第一程序,形成疏水性之第一膜。又,電漿處理裝置,於第一程序,形成含有氟(F)之第一膜。又,電漿處理裝置,於第一程序,藉由不含氫、而含有碳氟化合物(CF)之氣體來形成第一膜。如同上述,實施形態之電漿處理裝置,可將產生第二膜之潛伏之材料加以選擇來形成第一膜,並可精密控制圖案的尺寸。Furthermore, in the plasma processing method of the embodiment, the plasma processing apparatus forms a hydrophobic first film in the first step. In addition, the plasma processing apparatus forms the first film containing fluorine (F) in the first step. In addition, in the plasma processing apparatus, in the first process, the first film is formed by a gas containing no hydrogen but containing fluorocarbon (CF). As described above, the plasma processing apparatus of the embodiment can select the latent material that generates the second film to form the first film, and can precisely control the size of the pattern.

又,實施形態之電漿處理方法之中,電漿處理裝置,於第二程序之中,係於去除第一膜之後,形成第二膜。因此,實施形態之電漿處理裝置,可藉由第一膜的膜厚來精密控制第二膜的膜厚。Furthermore, in the plasma processing method of the embodiment, the plasma processing apparatus forms the second film after removing the first film in the second procedure. Therefore, in the plasma processing apparatus of the embodiment, the film thickness of the second film can be precisely controlled by the film thickness of the first film.

又,實施形態之電漿處理方法之中,電漿處理裝置將包含第一程序及第二程序之序列重複執行一次以上。因此,實施形態之電漿處理裝置,可藉由調整重複序列之次數,來精密控制所形成之第二膜的膜厚。Furthermore, in the plasma processing method of the embodiment, the plasma processing apparatus repeatedly executes the sequence including the first program and the second program more than once. Therefore, the plasma processing apparatus of the embodiment can precisely control the film thickness of the formed second film by adjusting the number of repeated sequences.

又,實施形態之電漿處理方法包含:第三程序,於第二程序之後,將第二膜作為遮罩而進行蝕刻。因此,實施形態之電漿處理裝置,可於精密控制遮罩即第二膜的尺寸之後,執行蝕刻,並可精密控制由蝕刻所形成之圖案的尺寸。In addition, the plasma processing method of the embodiment includes a third procedure, and after the second procedure, the second film is used as a mask for etching. Therefore, the plasma processing apparatus of the embodiment can perform etching after precisely controlling the size of the mask, that is, the second film, and can precisely control the size of the pattern formed by the etching.

又,實施形態之電漿處理方法中之處理對象的成對側壁,至少包含一部分曲面。因此,實施形態之電漿處理裝置,不僅將直線性形成之圖案,亦可將曲線性形成之圖案的尺寸加以精密控制。In addition, the pair of side walls of the object to be processed in the plasma processing method of the embodiment includes at least a part of the curved surface. Therefore, in the plasma processing apparatus of the embodiment, not only the linearly formed pattern but also the size of the curvedly formed pattern can be precisely controlled.

又,實施形態之電漿處理方法,於第二程序,將原子層沉積循環施行一次以上,而形成第二膜。因此,實施形態之電漿處理裝置,可使用原子層沉積的自我限制性而容易控制第二膜的膜厚。Moreover, in the plasma processing method of the embodiment, in the second procedure, the atomic layer deposition cycle is performed more than once to form the second film. Therefore, the plasma processing apparatus of the embodiment can easily control the film thickness of the second film using self-limiting atomic layer deposition.

又,實施形態之電漿處理方法的第一程序之中,電漿處理裝置,藉由化學氣相沉積或電漿化學氣相沉積來形成第一膜。因此,實施形態之電漿處理裝置可有效率執行處理。Furthermore, in the first procedure of the plasma processing method of the embodiment, the plasma processing apparatus forms the first film by chemical vapor deposition or plasma chemical vapor deposition. Therefore, the plasma processing apparatus of the embodiment can efficiently perform processing.

又,實施形態之電漿處理方法的第一程序之中,電漿處理裝置形成具有因應於處理對象上所形成之開口部的縱橫比、立體角、開口部的寬窄及深度、開口部的面積、圖案的疏密、線徑與間距中之至少一者之厚度差之第一膜。因此,實施形態之電漿處理裝置,可使用因各樣要因而產生之載入效果,來精密控制圖案的尺寸。Furthermore, in the first procedure of the plasma processing method of the embodiment, the plasma processing apparatus is formed to have an aspect ratio, a solid angle, a width and depth of the opening, and an area of the opening corresponding to the opening formed on the processing object 1. A first film with a difference in thickness between at least one of the density of the pattern, the diameter of the wire, and the pitch. Therefore, the plasma processing apparatus of the embodiment can precisely control the size of the pattern by using the loading effect generated due to various reasons.

又,實施形態之電漿處理方法,具備:成膜程序,在處理對象上將第一膜加以成膜;以及成膜循環執行程序,針對處理對象而執行成膜循環。而且,成膜循環係使用下者來執行:前驅體氣體,不化學吸附在第一膜的表面,而化學吸附在處理對象表面;以及反應氣體,進行電漿化而產生將第一膜加以去除之自由基。因此,實施形態之電漿處理方法,可使用第一膜而控制成膜循環中形成之膜的膜厚。因此,實施形態之電漿處理方法可精密控制圖案的尺寸。In addition, the plasma processing method of the embodiment includes a film forming program that forms the first film on the processing object; and a film forming cycle execution program that executes the film forming cycle for the processing object. In addition, the film formation cycle is performed using the following: precursor gas, which is not chemically adsorbed on the surface of the first film, but chemically adsorbed on the surface of the processing target; and reactive gas, which is generated by plasmasis to remove the first film Of free radicals. Therefore, the plasma processing method of the embodiment can use the first film to control the film thickness of the film formed in the film formation cycle. Therefore, the plasma processing method of the embodiment can precisely control the size of the pattern.

又,實施形態之電漿處理方法,執行成膜循環,前述成膜循環包含藉由同一氣體同時執行以下處理之程序:去除處理,在處理對象上,以第一預定量去除第一膜;以及沉積處理,在處理對象上,以與第一預定量不同之第二預定量沉積第二膜。因此,實施形態之電漿處理方法,可於一程序實現膜之去除與膜之形成兩個不同處理。因此,實施形態之電漿處理方法可效率良好地控制圖案的尺寸。In addition, the plasma processing method of the embodiment executes a film formation cycle, and the foregoing film formation cycle includes a procedure of simultaneously performing the following processes with the same gas: a removal process, and removing the first film by a first predetermined amount on the processing object; and In the deposition process, the second film is deposited in a second predetermined amount different from the first predetermined amount on the processing object. Therefore, the plasma processing method of the embodiment can realize two different processes of film removal and film formation in one procedure. Therefore, the plasma processing method of the embodiment can efficiently control the size of the pattern.

>變形例1> 此外,上述實施形態之中,藉由第一膜的膜厚而控制成膜循環例如ALD循環的潛伏時間。亦可取而代之,例如將第一膜的膜厚定為固定,並在第一膜施行ALD循環所行之改質處理,而使第二膜的膜厚變動。>Modification 1> In addition, in the above embodiment, the latent time of the film formation cycle, for example, the ALD cycle, is controlled by the thickness of the first film. Alternatively, for example, the film thickness of the first film may be fixed, and the first film may be modified by the ALD cycle to change the film thickness of the second film.

例如,圖6的步驟S63之中,代替形成厚度依相向之成對側壁彼此之間隔而異的第一膜,而在處理對象上形成具有均勻厚度之第一膜。此時,成膜手段可使用熱CVD(thermal chemical vapor deposition;熱化學氣相沉積)、供給二種有機氣體而藉由溫度控制進行聚合反應而進行成膜之手段等。For example, in step S63 of FIG. 6, instead of forming a first film whose thickness varies depending on the interval between the opposing pair of side walls, a first film having a uniform thickness is formed on the processing object. In this case, the film forming means may use thermal CVD (thermal chemical vapor deposition), a method of supplying two kinds of organic gases, and performing a polymerization reaction by temperature control to form a film, etc.

而且,圖6的步驟S64之中,執行使用載入效果之改質處理。例如,ALD循環中,於化學吸附步驟(參照圖2A),將含矽氣體作為前驅體氣體供給至腔室21。而且,於反應步驟(參照圖2C),將碳氟化合物(Cx Fy 例如C4 F6 )與含O氣體作為反應氣體而供給至腔室21。亦可於化學吸附步驟與反應步驟各自之後,執行將腔室21內加以氣滌之氣滌步驟。In addition, in step S64 of FIG. 6, the modification process using the loading effect is executed. For example, in the ALD cycle, in the chemical adsorption step (refer to FIG. 2A ), silicon-containing gas is supplied to the chamber 21 as a precursor gas. In the reaction step (see FIG. 2C ), a fluorocarbon (C x F y, for example, C 4 F 6 ) and O-containing gas are supplied to the chamber 21 as a reaction gas. After each of the chemical adsorption step and the reaction step, a gas scrubbing step of scrubbing the chamber 21 may be performed.

此情形下,在形成有第一膜之處,於化學吸附步驟之中含矽氣體不進行化學吸附,且於反應步驟受到含O電漿去除第一膜。又,反應步驟之中,反應氣體所含之碳氟化合物沉積在第一膜上。另一方面,在第一膜(及沉積在第一膜上之碳氟化合物的膜)受到含O電漿去除之處,於化學吸附步驟中,含矽氣體化學進行吸附,並於反應步驟中,氧自由基與含矽分子進行反應而形成SiO2 膜。In this case, where the first film is formed, the silicon-containing gas is not chemically adsorbed in the chemical adsorption step, and the first film is removed by the O-containing plasma in the reaction step. In addition, in the reaction step, the fluorocarbon contained in the reaction gas is deposited on the first film. On the other hand, where the first film (and the fluorocarbon film deposited on the first film) is removed by the plasma containing O, in the chemical adsorption step, the silicon-containing gas is chemically adsorbed and in the reaction step Oxygen radicals react with silicon-containing molecules to form a SiO 2 film.

反應步驟之中,處理對象上的圖案中,Cx Fy 不易進入圖案緊密的部分,且Cx Fy 容易進入圖案鬆散的部分。因此,圖案越緊密的部分(X邊)則Cx Fy 所成之成膜量越少,且圖案越鬆散的部分(Y邊)則Cx Fy 所行之成膜量越多。又,含O電漿不易進入圖案緊密的部分,且含O電漿容易進入圖案鬆散的部分。因此,圖案越緊密的部分(X邊)則由含O氣體產生之含O電漿所成之第一膜的去除量越少,且圖案越鬆散的部分(Y邊)則第一膜的去除量越多。可調整反應氣體所含之碳氟化合物與含O氣體之比率,而使X邊上之第一膜的去除速度快於Y邊上之第一膜的去除速度,藉以獲得X>Y收縮效果(參照圖5C)。因此,藉由變形例之電漿處理方法,亦可獲得X>Y收縮效果(參照圖5C)。In the reaction step, among the patterns on the processing object, C x F y does not easily enter the dense part of the pattern, and C x F y easily enters the loose part of the pattern. Therefore, the tighter the portion of the pattern (X side), the smaller the amount of film formation by C x F y , and the more loose the portion of the pattern (Y side), the more film formation by C x F y . In addition, the O-containing plasma is unlikely to enter the portion where the pattern is tight, and the O-containing plasma is likely to enter the portion where the pattern is loose. Therefore, the tighter the portion of the pattern (X side), the less the amount of removal of the first film formed by the O-containing plasma generated by the O-containing gas, and the more loose part of the pattern (Y side), the first film is removed. The more the amount. The ratio of the fluorocarbon contained in the reaction gas to the O-containing gas can be adjusted so that the removal speed of the first film on the X side is faster than the removal speed of the first film on the Y side, so as to obtain the shrinkage effect of X>Y ( (See Figure 5C). Therefore, the plasma treatment method of the modified example can also obtain the X>Y shrinkage effect (see FIG. 5C).

>變形例2> 又,上述實施形態之中,定為:ALD循環的處理條件為了完結處理對象的表面上之自我限制吸附、反應而設有充份的處理時間。但不限於此,亦可將ALD循環的處理條件設定為不完結處理對象的表面上之自我限制吸附、反應。例如,亦可將所謂不飽和ALD(以下亦稱作亞保形ALD)使用於第二程序。亞保形ALD,可利用例如以下二態樣實現。 (1)使前驅物吸附在處理對象的表面全體。控制為此後導入之反應氣體係不遍佈處理對象的表面全體。 (2)使前驅物僅吸附在處理對象的表面的一部。其後導入之反應氣體,僅在吸附有前驅物之表面部分進行成膜。可使用亞保形ALD,而將第二膜形成為第二膜的厚度係從頂部朝底部而逐漸減少。>Variation 2> In addition, in the above-mentioned embodiment, it is determined that the processing conditions of the ALD cycle are provided with sufficient processing time in order to complete the self-limiting adsorption and reaction on the surface of the processing object. However, it is not limited to this, and the processing conditions of the ALD cycle may also be set to self-limiting adsorption and reaction on the surface of the endless processing object. For example, so-called unsaturated ALD (hereinafter also referred to as sub-conformal ALD) may be used for the second procedure. Sub-conformal ALD can be realized by, for example, the following two aspects. (1) The precursor is adsorbed on the entire surface of the processing object. Control the reaction gas system introduced afterwards so as not to cover the entire surface of the object to be processed. (2) The precursor is adsorbed on only a part of the surface of the processing object. The reaction gas introduced thereafter is formed into a film only on the surface portion where the precursor is adsorbed. Subconformal ALD can be used, and the second film is formed so that the thickness of the second film gradually decreases from the top to the bottom.

圖10A用以說明變形例2之電漿處理方法的第一程序。圖10B用以說明變形例2之電漿處理方法的第二程序。圖10A所示之X-Y圖案設係設定為與圖5B所示之X-Y圖案同樣,但短邊X的成膜量少於圖5B的例。FIG. 10A is for explaining the first procedure of the plasma processing method of Modification 2. FIG. FIG. 10B is for explaining the second procedure of the plasma processing method of Modification 2. FIG. The X-Y pattern shown in FIG. 10A is set to be the same as the X-Y pattern shown in FIG. 5B, but the film formation amount of the short side X is smaller than the example of FIG. 5B.

變形例2的第一程序,使用CVD,而進行下述控制:於維持短邊X的開口尺寸之情況下,縮小長邊Y的開口尺寸(X>Y收縮)。其後,於第二程序,使用亞保形ALD,而進行下述控制:於縮小短邊X的開口尺寸之情況下,維持長邊Y的開口尺寸(X>Y收縮)。此時,短邊X上,將第二膜形成為藉由不飽和ALD而使膜厚從頂部至底部為止逐漸變薄。又,短邊X的底部未將第二膜加以成膜。如上所述,可使用亞保形ALD,藉以抑制往處理對象之底部的成膜量。又,使用亞保形ALD之情形下,亦維持下述關係:第一膜的膜厚越厚,則形成於相同部分之第二膜的膜厚越薄。因此,依據此電漿處理方法,可實現X-Y圖案的尺寸控制。The first procedure of Modification 2 uses CVD and performs the following control: while maintaining the opening size of the short side X, the opening size of the long side Y is reduced (X>Y shrinks). Thereafter, in the second procedure, using the sub-conformal ALD, the following control is performed: while reducing the opening size of the short side X, the opening size of the long side Y is maintained (X>Y contraction). At this time, on the short side X, the second film is formed to gradually become thinner from the top to the bottom by unsaturated ALD. In addition, the second film was not formed on the bottom of the short side X. As described above, sub-conformal ALD can be used to suppress the amount of film formation to the bottom of the processing object. In the case of using sub-conformal ALD, the following relationship is also maintained: the thicker the first film, the thinner the second film formed in the same portion. Therefore, according to this plasma processing method, the size control of the X-Y pattern can be realized.

如同變形例2,本實施形態之電漿處理方法,亦可第二程序之中,利用不完結處理對象的表面上之自我限制吸附或反應之處理條件,施行亞保形ALD循環一次以上來形成第二膜。因此,電漿處理方法,不僅控制X-Y圖案,可抑制圖案底部的成膜量,且能容易執行後續處理例如蝕刻。As in Modification 2, the plasma processing method of this embodiment can also be formed by performing a sub-conformal ALD cycle more than once in the second procedure using the self-limiting adsorption or reaction processing conditions on the surface of the incomplete processing object Second film. Therefore, the plasma processing method not only controls the X-Y pattern, can suppress the film formation amount at the bottom of the pattern, and can easily perform subsequent processing such as etching.

當知悉本說明書揭示之實施形態,在全部特點係例示而非限制。上述實施形態,可不超脫附加之發明申請專利範圍及其主旨而以各樣形態進行省略、取代、變更。When knowing the embodiments disclosed in this specification, all the features are examples rather than limitations. The above-mentioned embodiments can be omitted, substituted, or changed in various forms without overstepping the scope of the additional invention patent application and its gist.

10:電漿處理裝置 21:腔室 22:絕緣板 23:支持台 24:基座 25:靜電夾盤 25a:聚焦環 26:電極 27:直流電源 28:內壁構件 29:冷媒室 30a、30b:配管 31:配管 33:匹配器 34:射頻電源 36a、36b:壓力計 37a、37b:閥 38、38a、38b:配管 40:上部電極 41:頂板支持部 42:頂板 42a:氣體導入口 43:擴散室 43a:流通口 45:絕緣性構件 46:氣體導入口 47:配管 48a~48c:氣體供給源 49a~49c:質流控制器(MFC) 50a~50c:閥 51:匹配器 52:射頻電源 60:控制裝置 61:記憶體 62:處理器 63:使用者介面 71:排氣口 72:排氣管 73:排氣裝置 74:開口 76、77:沉積障蔽 78:開口 79:GND區塊 G:閘閥 O1~O7:開口部 W:晶圓 S61~S65:步驟10: Plasma treatment device 21: chamber 22: Insulation board 23: Support Desk 24: Dock 25: electrostatic chuck 25a: Focus ring 26: electrode 27: DC power supply 28: inner wall member 29: refrigerant room 30a, 30b: piping 31: Piping 33: Matcher 34: RF power supply 36a, 36b: pressure gauge 37a, 37b: valve 38, 38a, 38b: piping 40: upper electrode 41: Roof support 42: Top plate 42a: gas inlet 43: Diffusion chamber 43a: Circulation port 45: insulating member 46: gas inlet 47: Piping 48a~48c: gas supply source 49a~49c: Mass flow controller (MFC) 50a~50c: valve 51: Matcher 52: RF power supply 60: control device 61: Memory 62: processor 63: User interface 71: Exhaust 72: Exhaust pipe 73: Exhaust 74: opening 76, 77: deposition barrier 78: opening 79: GND block G: Gate valve O1~O7: opening W: Wafer S61~S65: Procedure

圖1顯示一實施形態之電漿處理裝置的構成的一例。 圖2A用以說明ALD中之前驅體氣體的化學吸附步驟。 圖2B用以說明ALD中之前驅體氣體的氣滌步驟。 圖2C用以說明ALD中之反應氣體所成之活性化步驟。 圖2D用以說明ALD中之反應氣體的氣滌步驟。 圖3A係用以說明一實施形態之電漿處理方法中之潛伏之圖(1)。 圖3B係用以說明一實施形態之電漿處理方法中之潛伏之圖(2)。 圖3C係用以說明一實施形態之電漿處理方法中之潛伏之圖(3)。 圖3D係用以說明一實施形態之電漿處理方法中之潛伏之圖(4)。 圖3E係用以說明一實施形態之電漿處理方法中之潛伏之圖(5)。 圖3F係用以說明一實施形態之電漿處理方法中之潛伏之圖(6)。 圖4A用以說明形成於遮罩的開口部之尺寸控制。 圖4B用以說明形成於遮罩的開口部的一例。 圖4C顯示使用圖4B的遮罩而進行蝕刻之情形下形成之圖案的一例。 圖5A用以說明X-Y圖案。 圖5B用以說明X-Y圖案之尺寸控制例1。 圖5C用以說明X-Y圖案之尺寸控制例2。 圖6係將一實施形態之電漿處理裝置中之電漿處理方法的大致流程的一例加以顯示之流程圖。 圖7用以說明載入效果的一例。 圖8A用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果的圖(1)。 圖8B用以說明藉由一實施形態之電漿處理方法而獲得之X>Y收縮效果的圖(2)。 圖9顯示將一實施形態之電漿處理方法加以應用之處理對象的材料的組合例。 圖10A用以說明變形例2之電漿處理方法的第一程序。 圖10B用以說明變形例2之電漿處理方法的第二程序。FIG. 1 shows an example of the configuration of a plasma processing apparatus of an embodiment. FIG. 2A is used to illustrate the chemical adsorption step of the precursor gas in ALD. FIG. 2B is used to illustrate the scrubbing step of the precursor gas in ALD. FIG. 2C is used to illustrate the activation step formed by the reaction gas in ALD. FIG. 2D is used to illustrate the step of scrubbing the reaction gas in ALD. FIG. 3A is a diagram (1) for explaining the latency in the plasma processing method according to an embodiment. FIG. 3B is a diagram (2) for explaining the latency in the plasma processing method of an embodiment. FIG. 3C is a diagram (3) for explaining the latency in the plasma processing method of an embodiment. FIG. 3D is a diagram (4) for explaining the latency in the plasma processing method of an embodiment. FIG. 3E is a diagram (5) for explaining the latent in the plasma processing method of an embodiment. FIG. 3F is a diagram (6) for explaining the latent in the plasma processing method of an embodiment. FIG. 4A is used to explain the size control of the opening formed in the mask. FIG. 4B is used to explain an example of an opening formed in the mask. FIG. 4C shows an example of a pattern formed when etching is performed using the mask of FIG. 4B. FIG. 5A is used to explain the X-Y pattern. FIG. 5B is used to explain the size control example 1 of the X-Y pattern. FIG. 5C is used to explain the size control example 2 of the X-Y pattern. FIG. 6 is a flowchart showing an example of the general flow of the plasma processing method in the plasma processing apparatus of the embodiment. FIG. 7 is used to illustrate an example of the loading effect. FIG. 8A is a diagram (1) illustrating the X>Y shrinkage effect obtained by the plasma processing method of one embodiment. FIG. 8B is a diagram (2) illustrating the X>Y shrinkage effect obtained by the plasma processing method of one embodiment. FIG. 9 shows an example of a combination of materials to be processed by applying the plasma processing method of one embodiment. FIG. 10A is for explaining the first procedure of the plasma processing method of Modification 2. FIG. FIG. 10B is for explaining the second procedure of the plasma processing method of Modification 2. FIG.

S61~S65:步驟 S61~S65: Step

Claims (17)

一種電漿處理方法,包括: 第一程序,在處理對象所具有之開口部的側壁上,形成厚度依相向之成對側壁彼此之間隔而異的第一膜;以及 第二程序,於該第一程序後,將成膜循環施行一次以上,形成厚度依該相向之成對側壁彼此之間隔而異的第二膜。A plasma processing method, including: In the first procedure, a first film is formed on the side wall of the opening part of the processing object, the thickness of which varies according to the interval between the pair of side walls facing each other; and In the second process, after the first process, the film formation cycle is performed more than once to form a second film whose thickness varies according to the interval between the opposing pair of side walls. 如申請專利範圍第1項之電漿處理方法,其中, 該第一程序,在以比該處理對象上所形成之成對第一側壁更窄的間隔相向之成對第二側壁上,形成比該成對第一側壁上所形成之第一膜更薄的第一膜, 該第二程序,在該成對第二側壁上,形成比該成對第一側壁上所形成之第二膜更厚的第二膜。For example, the plasma treatment method in the first item of the patent scope, in which In the first procedure, the pair of second side walls facing each other at a narrower interval than the pair of first side walls formed on the processing object is formed thinner than the first film formed on the pair of first side walls The first film, In the second process, on the pair of second side walls, a second film thicker than the second film formed on the pair of first side walls is formed. 如申請專利範圍第1或2項之電漿處理方法,其中, 該第一程序,形成含有成為該成膜循環中之第二膜之形成的妨礙因子之成分的該第一膜。For example, the plasma treatment method of item 1 or 2 of the patent scope, in which In the first procedure, the first film is formed to contain a component that becomes an obstacle to the formation of the second film in the film formation cycle. 如申請專利範圍第3項之電漿處理方法,其中, 該第一程序形成疏水性之該第一膜。For example, the plasma treatment method of the third item of the patent application scope, in which The first procedure forms the first film that is hydrophobic. 如申請專利範圍第4項之電漿處理方法,其中, 該第一程序形成含有氟(F)之該第一膜。For example, the plasma treatment method in the fourth scope of the patent application, where, The first procedure forms the first film containing fluorine (F). 如申請專利範圍第5項之電漿處理方法,其中, 該第一程序,藉由不含氫、而含碳氟化合物(CF)之氣體來形成該第一膜。For example, the plasma treatment method in the fifth scope of the patent application, where, In the first process, the first film is formed by a gas containing no hydrogen but containing fluorocarbon (CF). 如申請專利範圍第1至6項中任一項之電漿處理方法,其中, 該第二程序,於去除該第一膜之後,形成該第二膜。For example, the plasma treatment method according to any one of items 1 to 6 of the patent application scope, in which In the second process, after the first film is removed, the second film is formed. 如申請專利範圍第1至7項中任一項之電漿處理方法,其中, 將包含該第一程序及該第二程序之序列重複執行一次以上。For the plasma treatment method according to any one of the items 1 to 7 of the patent application scope, where, Repeat the sequence including the first program and the second program more than once. 如申請專利範圍第1至8項中任一項之電漿處理方法,其中, 包含:第三程序,於該第二程序之後,將該第二膜作為遮罩而進行蝕刻。For example, the plasma treatment method according to any one of the items 1 to 8 of the patent scope, in which Including: a third procedure, after the second procedure, etching the second film as a mask. 如申請專利範圍第1至9項中任一項之電漿處理方法,其中, 該成對側壁至少包含一部分曲面。For the plasma treatment method according to any one of the items 1 to 9 of the patent application scope, where, The pair of side walls includes at least a part of a curved surface. 如申請專利範圍第1至10項中任一項之電漿處理方法,其中, 該第二程序之中,將原子層沉積(Atomic Layer Deposition;ALD)循環施行一次以上,而形成該第二膜。For example, the plasma treatment method according to any one of items 1 to 10 of the patent application scope, in which In this second procedure, atomic layer deposition (Atomic Layer Deposition; ALD) is cycled more than once to form the second film. 如申請專利範圍第1至10項中任一項之電漿處理方法,其中, 該第二程序之中,以不完結處理對象的表面上之自我限制吸附或反應之處理條件,來將亞保形ALD循環施行一次以上,而形成該第二膜。For example, the plasma treatment method according to any one of items 1 to 10 of the patent application scope, in which In the second procedure, the sub-conformal ALD cycle is performed more than once under the processing conditions of self-limiting adsorption or reaction on the surface of the processing object, and the second film is formed. 如申請專利範圍第1至12項中任一項之電漿處理方法,其中, 該第一程序之中,藉由化學氣相沉積或電漿化學氣相沉積來形成該第一膜。For the plasma treatment method according to any one of the items 1 to 12 of the patent application scope, where, In the first process, the first film is formed by chemical vapor deposition or plasma chemical vapor deposition. 如申請專利範圍第1至13項中任一項之電漿處理方法,其中, 該第一程序形成具有因應於該處理對象上所形成之開口部的縱橫比、立體角、開口部的寬窄及深度、開口部的面積、圖案的疏密、線徑與間距中之至少一者之厚度差的該第一膜。For the plasma treatment method according to any one of the patent application items 1 to 13, The first program formation has at least one of the aspect ratio, solid angle, width and depth of the opening corresponding to the opening formed on the processing object, the area of the opening, the density of the pattern, the diameter of the wire, and the pitch The thickness of the first film. 一種電漿處理裝置,具備: 記憶部,記憶有將如申請專利範圍第1至14項中任一項之電漿處理方法加以執行之程式;以及 控制部,進行控制以執行該程式。A plasma processing device with: The memory section stores a program that executes the plasma processing method according to any one of the items 1 to 14 of the patent application scope; and The control section controls to execute the program. 一種電漿處理方法,包括: 成膜程序,在處理對象上將第一膜加以成膜;以及 成膜循環執行程序,針對該處理對象,執行成膜循環; 且該成膜循環係使用下列氣體來執行: 前驅體氣體,不化學吸附在該第一膜的表面,而化學吸附在該處理對象表面;以及 反應氣體,進行電漿化而產生將該第一膜加以去除之自由基。A plasma processing method, including: A film forming procedure to form the first film on the processing object; and Film forming cycle execution program, execute film forming cycle for this processing object; And the film forming cycle is performed using the following gases: The precursor gas is not chemically adsorbed on the surface of the first film, but is chemically adsorbed on the surface of the processing object; and The reaction gas is plasma-generated to generate radicals from which the first film is removed. 一種電漿處理方法,執行一成膜循環,該成膜循環包含藉由同一氣體而同時執行以下處理之程序: 去除處理程序,在處理對象上,以第一預定量去除第一膜;以及 沉積處理程序,在處理對象上,以與該第一預定量不同之第二預定量沉積第二膜。A plasma processing method that performs a film-forming cycle that includes procedures for simultaneously performing the following processes using the same gas: A removal processing procedure to remove the first film by a first predetermined amount on the processing object; and The deposition processing procedure deposits a second film on the processing object in a second predetermined amount different from the first predetermined amount.
TW108125909A 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus TWI820170B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2018-140334 2018-07-26
JP2018140334 2018-07-26
JP2019-104041 2019-06-03
JP2019104041A JP7345283B2 (en) 2018-07-26 2019-06-03 Plasma treatment method and plasma treatment device

Publications (2)

Publication Number Publication Date
TW202014548A true TW202014548A (en) 2020-04-16
TWI820170B TWI820170B (en) 2023-11-01

Family

ID=69619516

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125909A TWI820170B (en) 2018-07-26 2019-07-23 Plasma processing method and plasma processing apparatus

Country Status (2)

Country Link
JP (1) JP7345283B2 (en)
TW (1) TWI820170B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817542B (en) * 2022-03-14 2023-10-01 日商鎧俠股份有限公司 Semiconductor manufacturing equipment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881668B2 (en) * 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2006173299A (en) * 2004-12-15 2006-06-29 Nec Electronics Corp Method of manufacturing semiconductor device
JP6382055B2 (en) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 Method for processing an object
JP6545094B2 (en) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP6800004B2 (en) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 Method of forming a silicon nitride film
JP6576277B2 (en) * 2016-03-23 2019-09-18 東京エレクトロン株式会社 Formation method of nitride film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817542B (en) * 2022-03-14 2023-10-01 日商鎧俠股份有限公司 Semiconductor manufacturing equipment

Also Published As

Publication number Publication date
TWI820170B (en) 2023-11-01
JP2020025079A (en) 2020-02-13
JP7345283B2 (en) 2023-09-15

Similar Documents

Publication Publication Date Title
JP5192214B2 (en) Gas supply apparatus, substrate processing apparatus, and substrate processing method
US9607811B2 (en) Workpiece processing method
US10043639B2 (en) Substrate processing apparatus and substrate processing method
TWI686863B (en) Method for etching organic film
TW200822264A (en) Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US20160099148A1 (en) Method of processing target object
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
US11289339B2 (en) Plasma processing method and plasma processing apparatus
JP6735549B2 (en) Substrate processing apparatus, substrate processing method and ring-shaped member
TW202004868A (en) Atomic layer self aligned substrate processing and integrated toolset
TW201907477A (en) Etching method and etching device
US20220411928A1 (en) Plasma processing method and plasma processing apparatus
TWI750269B (en) Processing method and processing apparatus
TWI820170B (en) Plasma processing method and plasma processing apparatus
JP7418632B2 (en) plasma processing equipment
TWI821386B (en) Substrate processing method
JP5580908B2 (en) Gas supply apparatus, substrate processing apparatus, and substrate processing method
KR102663075B1 (en) Film forming method and film forming apparatus