TW201933479A - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
TW201933479A
TW201933479A TW108100267A TW108100267A TW201933479A TW 201933479 A TW201933479 A TW 201933479A TW 108100267 A TW108100267 A TW 108100267A TW 108100267 A TW108100267 A TW 108100267A TW 201933479 A TW201933479 A TW 201933479A
Authority
TW
Taiwan
Prior art keywords
gas
film
wafer
processing container
plasma
Prior art date
Application number
TW108100267A
Other languages
English (en)
Other versions
TWI833726B (zh
Inventor
木原嘉英
橫山喬大
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201933479A publication Critical patent/TW201933479A/zh
Application granted granted Critical
Publication of TWI833726B publication Critical patent/TWI833726B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本發明之目的在於提供一種技術,可不受進行成膜之電漿處理的進行程度的影響,而使膜對被處理基板的形成態樣一樣。
依本發明之一實施態樣的方法係一種在形成於被處理基板上之圖案進行成膜的成膜方法;被處理基板係在減壓環境下被配置在設於可進行電漿處理之空間的載置台,並且可供給高頻電力的頂部電極係與載置台相向而配置於空間。該方法係將對被處理基板之主面的複數區域中的每個區域調節被處理基板之主面的溫度的步驟加以執行後,重複執行包含以下步驟的程序:第一步驟,在被處理基板的圖案形成沉積膜;及第二步驟,藉由將電力僅供給至頂部電極而使電漿產生於空間,以清潔空間。

Description

成膜方法
本發明的實施態樣係關於一種成膜方法。
由於電子元件之高積體化所伴隨的微型化,因而必需在被處理基板上的圖案形成中,要求高精度地控制最小線寬(CD:Critical Dimension)。作為電漿蝕刻中之最小線寬變動的要因,一般可舉例在產生電漿之處理空間露出的電漿處理裝置之構成零件(例如,使電漿產生之處理容器的內壁面,或與處理容器連接之各種配管的內壁面等),其表面的狀態改變。前人已開發出各種對應於此等電漿處理裝置之構成零件的表面狀態改變的技術(例如參照專利文獻1~3)。
[習知技術文獻]
[專利文獻]
專利文獻1:日本特開2016-072625號公報
專利文獻2:日本特開2014-053644號公報
專利文獻3:日本特開2017-073535號公報
[發明所欲解決之問題]
在電漿處理中,處理容器(腔室)內的環境會因應電漿處理的進行而變化,並且因為該環境的變化,而使電漿處理對於被處理基板(晶圓)的效果亦會產生變化。例如,在被處理基板進行成膜時,會有不僅對被處理基板形成膜,亦對處理容器的內壁面形成膜的情況。由於隨著膜伴隨於進行成膜之電漿處理的進行而形成在處理容器的內壁面,用於形成膜的氣體中吸附於內壁面之部分所佔的比例會產生變化,伴隨於此變化,該氣體中可到達被處理基板的部分所佔的比例亦會產生變化,故隨著電漿處理的進行,膜對被處理基板的形成態樣亦會產生變化。因此,期望有可不受進行成膜之電漿處理之進行程度的影響,而使膜對被處理基板的形成態樣一致的技術。
[解決問題之技術手段]
在本發明之一態樣中,係提供一種在形成於被處理基板上之圖案進行成膜的成膜方法。被處理基板係在減壓環境下被配置在設於可進行電漿處理之空間的載置台,並且可供給高頻電力的頂部電極係與載置台相向而配置於空間。該方法係在將「對被處理基板主面之複數區域中的每個區域調節被處理基板主面之溫度的步驟」加以執行後,重複執行包含以下步驟的程序:第一步驟,在被處理基板的圖案形成沉積膜;及第二步驟,藉由將電力僅供給至頂部電極而使電漿產生於空間,以清潔空間。
形成於主面之膜的厚度可依據被處理基板之主面的溫度而變化。然而,在未進行清潔便重複進行沉積膜之形成的情況下,於重複進行沉積膜之形成的期間,因應被處理基板之主面溫度的膜厚變化的程度會降低,因此,會產生無法有效進行被處理基板之主面的溫度調節的情況。相對於此,在上述成膜方法中,由於被處理基板的主面係在溫度調節後進行沉積膜的形成,並每次進行沉積膜的形成亦會進行清潔,故即使重複進行沉積膜之形成,被處理基板之主面的溫度調節亦可在被處理基板之主面的沉積膜厚度的控制中,充分且有效地發揮作用。
在本發明之一實施態樣中,溫度調節步驟係使用預先取得到之表示主面之溫度與沉積膜之膜厚之對應關係的對應資料,而對複數區域中的每個區域調節主面之溫度。如此,由於使用預先取得到的對應資料,故可更正確且再現性良好地執行被處理基板之主面的溫度調節。
在本發明之一實施態樣中,第一步驟包含以下步驟:將含有前驅物材料的第一氣體供給至空間,而使前驅物吸附於圖案的表面的步驟;及使第二氣體的電漿產生,而將電漿供給至前驅物的步驟。如此,在形成沉積膜的第一步驟中,首先,藉由含有前驅物材料的第一氣體使前驅物吸附於被處理基板之圖案的表面,其後,藉由對該前驅物供給第二氣體之電漿,而在被處理基板之圖案的表面形成沉積膜。因此,可藉由與ALD(Atomic Layer Deposition:原子層沉積)法相同的方法,在被處理基板之圖案的表面形成沉積膜。
在本發明之一實施態樣中,該第一氣體為胺基矽烷系氣體,而第二氣體含有氧或是氮。又,在第二步驟中,係使第三氣體的電漿產生於空間,第三氣體含有鹵素化合物。
在本發明之一實施態樣中,第一氣體的胺基矽烷系氣體包含具有1~3個矽原子的胺基矽烷。又,在本發明之一實施態樣中,第一氣體的胺基矽烷系氣體可包含具有1~3個胺基的胺基矽烷。
在本發明之一實施態樣中,第一氣體含有鹵化鎢。又,在本發明之一實施態樣中,第一氣體含有四氯化鈦或是肆(二甲胺基)鈦。又,在本發明之一實施態樣中,第一氣體含有鹵化硼。
在本發明之一實施態樣中,第一步驟(以下,稱為步驟a)係包含以下步驟:將包含電子供給性之第一取代基的第一氣體(以下,在步驟a中使用的情況下,稱為氣體a1)供給至空間,而使第一取代基吸附於圖案之表面的步驟;及將包含電子吸引性之第二取代基的第二氣體(以下,在步驟a中使用的情況下,稱為氣體a2)供給至第一取代基的步驟。如此,在形成沉積膜的步驟a中,首先,藉由包含電子供給性之第一取代基的氣體a1使第一取代基吸附於被處理基板之圖案的表面,其後,可藉由對該第一取代基供給包含電子吸引性之第二取代基的氣體a2而產生聚合反應,並藉由此聚合反應而在被處理基板之圖案的表面形成沉積膜。
在本發明之一實施態樣中,上述步驟a係藉由異氰酸酯與胺的聚合反應,或是異氰酸酯與具有羥基之化合物的聚合反應,而形成沉積膜。
[對照先前技術之功效]
如以上所說明,本發明係提供一種技術,可不受進行成膜之電漿處理之進行程度的影響,而使膜對被處理基板的形成態樣一致。
以下,參照圖式詳細說明各種實施態樣。又,對於各圖式中相同或是相當的部分賦予相同的符號。圖1係顯示處理依本發明之一實施態樣之被處理基板(以下,亦稱為晶圓W)之方法的流程圖。圖1所示之方法MT係將被處理基板成膜之成膜方法的一實施態樣。方法MT(處理被處理基板之方法)係藉由圖2所示之電漿處理裝置10而執行。
圖2係顯示用於執行圖1所示之方法MT的依本發明之一實施態樣之電漿處理裝置之一例的圖式。圖2中概略地顯示了可使用在方法MT之各種實施態樣的電漿處理裝置10的剖面構造。如圖2所示,電漿處理裝置10係具備平行板電極的電漿蝕刻裝置,並具備有處理容器12。
處理容器12具有例如略圓筒狀,並區劃出處理空間Sp。處理容器12包含例如鋁的材料,並在處理容器12的內壁面實施有陽極氧化處理。處理容器12係進行保安接地。
在處理容器12的底部上設有例如略圓筒狀的支撐部14。支撐部14包含例如絕緣材料。支撐部14的絕緣材料可含有氧,如石英。支撐部14係在處理容器12內,從處理容器12的底部往垂直方向(從底部往朝向頂棚側之頂部電極30的方向)延伸。
處理容器12內設有載置台PD。載置台PD係藉由支撐部14所支撐。載置台PD係在載置台PD的頂面上固持晶圓W。晶圓W的主面係位於與載置台PD之頂面接觸之晶圓W背面的相反側,面向頂部電極30。載置台PD包含底部電極LE及靜電夾頭ESC。底部電極LE包含有第一板部18a及第二板部18b。
第一板部18a及第二板部18b係包含例如鋁等金屬材料,並具有例如略圓盤狀。第二板部18b係設置在第一板部18a上,並與第一板部18a電性連接。
在第二板部18b上設有靜電夾頭ESC。靜電夾頭ESC具有將導電膜亦即電極配置於一對絕緣層之間或是一對絕緣片之間的構造。直流電源22係透過開關23而與靜電夾頭ESC的電極電性連接。晶圓W係在載置於載置台PD的情況下與靜電夾頭ESC接觸。
晶圓W的背面(主面之相反側的面)與靜電夾頭ESC接觸。靜電夾頭ESC係藉由來自直流電源22之直流電壓產生的庫侖力等靜電力,以吸附晶圓W。藉此,靜電夾頭ESC可固持晶圓W。
在第二板部18b的周緣部上係以包圍晶圓W之邊緣及靜電夾頭ESC的方式配置有對焦環FR。對焦環FR係為了使蝕刻的均勻性提高而設置。對焦環FR可包含根據蝕刻對象之膜的材料而適當選擇的材料,例如包含石英材料。
在電漿處理裝置10設有調節晶圓W溫度的溫度調節部HT。溫度調節部HT係內建在靜電夾頭ESC。加熱器電源HP係連接至溫度調節部HT。藉由將電力從加熱器電源HP供給至溫度調節部HT,以調節靜電夾頭ESC的溫度,進而調節載置於靜電夾頭ESC上之晶圓W的溫度。又,溫度調節部HT亦可埋入至第二板部18b內。
溫度調節部HT包含:產生熱的複數加熱元件、及分別偵測該等複數加熱元件各自之周圍溫度的複數溫度感測器。如圖3所示,在晶圓W對準位置且載置於靜電夾頭ESC上的情況下,複數加熱元件係分別設於晶圓W之主面之複數區域ER中的每個區域(複數區域中的每個區域)。圖3係示意地顯示將方法MT中經分割之晶圓W之主面的複數區域ER之一部分作為一例的圖式。後述之控制部Cnt係在晶圓W對準位置並載置於靜電夾頭ESC上的情況下,將「與晶圓W之主面的複數區域ER中之各區域對應的加熱元件及溫度感測器」與「區域ER」附加關連而加以識別。控制部Cnt可藉由例如數字或文字等號碼等而在複數區域ER中的每個區域識別出區域ER、及與區域ER對應的加熱元件及溫度感測器。控制部Cnt係藉由設於與其中一個區域ER對應之位置的溫度感測器而偵測該一個區域ER的溫度,並藉由設於與該一個區域ER對應之位置的加熱元件而對該一個區域ER進行溫度調節。又,在晶圓W載置於靜電夾頭ESC上的情況下,藉由其中一個溫度感測器所偵測的溫度係與晶圓W中該溫度感測器上之區域ER的溫度相同。
在第二板部18b的內部設有冷媒通道24。冷媒通道24構成調溫機構。冷媒係透過配管26a從設於處理容器12外部的急冷器單元(省略圖示)供給至冷媒通道24。供給至冷媒通道24的冷媒係透過配管26b回到急冷器單元。如此,冷媒以循環的方式被供給至冷媒通道24。可藉由控制此冷媒的溫度,而控制被靜電夾頭ESC所支撐之晶圓W的溫度。在電漿處理裝置10設有氣體供給管線28。氣體供給管線28係將來自傳熱氣體供給機構的傳熱氣體例如He氣,供給至靜電夾頭ESC的頂面與晶圓W的背面之間。
電漿處理裝置10包含頂部電極30。頂部電極30設於處理容器12內的頂棚側(在處理容器12內,設有支撐部14之一側的相反側)。頂部電極30係在載置台PD的上方與載置台PD相向配置。
底部電極LE與頂部電極30係彼此略平行地設置,而構成平行板電極。在頂部電極30與底部電極LE之間提供有用於對晶圓W進行電漿處理的處理空間Sp。頂部電極30透過絕緣遮蔽構件32而支撐於處理容器12的頂部。絕緣遮蔽構件32可包含絕緣材料例如含有氧,如石英。頂部電極30可包含電極板34及電極支撐體36。電極板34係面向處理空間Sp,並且在電極板34設有複數氣體噴吐孔34a。
在本發明之一實施態樣中,電極板34含有矽。在另一實施態樣中,電極板34可含有二氧化矽(SiO2 )。
電極支撐體36可裝卸自如地支撐電極板34,並包含例如鋁等導電性材料。電極支撐體36可包含水冷構造。在電極支撐體36的內部設有氣體擴散室36a。與氣體噴吐孔34a連通的複數氣體流通孔36b係從氣體擴散室36a往下方延伸。
電漿處理裝置10包含第一高頻電源62及第二高頻電源64。第一高頻電源62係產生電漿產生用之第一高頻電力的電源,其頻率為27~100[MHz],在一例中係產生60[MHz]的高頻電力。又,第一高頻電源62具備脈衝規格,例如,可藉由頻率0.1~50[kHz]及占空比5~100%來進行控制。
第一高頻電源62係透過匹配器66而與頂部電極30連接。匹配器66係用於使第一高頻電源62的輸出阻抗與負載側(底部電極LE側)的輸入阻抗整合的電路。又,第一高頻電源62亦可透過匹配器66而與底部電極LE連接。
第二高頻電源64係產生用於將離子吸引至晶圓W的第二高頻電力亦即高頻偏壓電力的電源,其頻率在400[kHz]~40.68[MHz]之範圍內,在一例中係產生13.56[MHz]之頻率的高頻偏壓電力。又,第二高頻電源64具備脈衝規格,例如,可藉由頻率0.1~50[kHz]及占空比5~100%來進行控制。
第二高頻電源64係透過匹配器68而與底部電極LE連接。匹配器68係用於使第二高頻電源64的輸出阻抗與負載側(底部電極LE側)的輸入阻抗整合的電路。
電漿處理裝置10更包含電源70。電源70係與頂部電極30連接。電源70係將用於將存在於處理空間Sp內的正離子吸引至電極板34的電壓施加至頂部電極30。在一例中電源70係產生負的直流電壓的直流電源。若將如此之電壓從電源70施加至頂部電極30,則存在於處理空間Sp的正離子會與電極板34碰撞。藉此,可從電極板34釋出二次電子及/或矽。
在處理容器12內之底部側(處理容器12內之頂棚側的相反側,即在處理容器12內設有支撐部14之一側)的支撐部14與處理容器12的側壁間設有排氣板部48。排氣板部48例如可將Y2 O3 等陶瓷被覆於鋁材而得之。處理容器12之排氣板部48的下方設有排氣口12e。
排氣裝置50係透過排氣管52而與排氣口12e連接。排氣裝置50包含例如渦輪分子泵等真空泵,可將處理容器12之處理空間Sp內的空間減壓至所期望的真空度。在處理容器12的側壁設有晶圓W的搬入搬出口12g,搬入搬出口12g可藉由閘門閥54而開關。
如後所述,由於在電漿處理裝置10中,供給有機胺基矽烷系氣體,故電漿處理裝置10包含後混合構造,其使供給有機胺基矽烷系氣體的配管及供給其他處理氣體(例如氧氣)的配管分離。由於有機胺基矽烷系氣體反應性較高,故在藉由同一配管進行有機胺基矽烷系氣體之供給與其他處理氣體之供給的情況下,會有吸附於配管內的有機胺基矽烷系氣體之成分與其他處理氣體之成分產生反應,而導致此反應的反應產生物堆積在配管內的情況。
堆積在配管內的反應產生物難以進行由清潔等而達成之去除,可能成為該反應產生物成為產生微粒的原因,及配管的位置在接近電漿區域的情況下會異常放電的原因。因此,必需分別以各別之配管進行有機胺基矽烷系氣體之供給與其他處理氣體的供給。藉由電漿處理裝置10的後混合構造,將有機胺基矽烷系氣體的供給與其他處理氣體的供給分別由各別之配管進行。
電漿處理裝置10的後混合構造包含至少兩配管(氣體供給管38、氣體供給管82)。氣體源群組40皆透過閥群組42及流量控制器群組45而與氣體供給管38及氣體供給管82連接。
氣體源群組40包含複數氣體源。複數氣體源可包含:有機胺基矽烷系氣體源(例如氣體G1所包含之氣體)、氟碳化物系氣體源(Cx Fy 氣體(x、y為1~10的整數))(例如在步驟ST3及步驟ST7中所使用的氣體、及氣體G4所包含之氣體)、包含氧原子的氣體源(氧氣等)(例如氣體G2所包含之氣體)、包含氟原子的氣體源(例如氣體G3所包含之氣體)、包含氮原子的氣體源(例如在步驟ST8中所使用的氣體)、包含氫原子的氣體源(例如在步驟ST8中所使用的氣體)、及Ar氣體(例如氣體G5所包含之氣體、吹淨氣體、及逆流防止氣體)等鈍性氣體源等的各種氣體源。
作為有機胺基矽烷系氣體,可使用具有胺基數較少之分子構造的氣體,例如,可使用單胺基矽烷(H3 -Si-R(R係被取代亦可之有機胺基))。上述的有機胺基矽烷系氣體(後述的氣體G1所包含之氣體)可包含具有1~3個矽原子的胺基矽烷,或是可包含具有1~3個胺基的胺基矽烷。
具有1~3個矽原子的胺基矽烷可為具有1~3個胺基的單矽烷(單胺基矽烷)、具有1~3個胺基的二矽烷、或是具有1~3個胺基的三矽烷。再者,上述的胺基矽烷可具有被取代亦可之胺基。再者,上述的胺基可被甲基、乙基、丙基及丁基中任一者取代。再者,上述的甲基、乙基、丙基或是丁基可被鹵素取代。
作為氟碳化物系氣體可使用:CF4 氣體、C4 F6 氣體、C4 F8 氣體等任意的氟碳化物系氣體。作為鈍性氣體可使用:氮氣、Ar氣體、He氣體等任意的氣體。
閥群組42包含複數閥,流量控制器群組45包含質量流量控制器等複數流量控制器。氣體源群組40的複數氣體源係分別透過與閥群組42中對應的閥及與流量控制器群組45中對應的流量控制器,而連接至氣體供給管38及氣體供給管82。因此,電漿處理裝置10可將來自從氣體源群組40的複數氣體源中所選擇出的一個以上之氣體源的氣體,以個別調整後之流量供給至處理容器12的處理空間Sp內。
在處理容器12設有氣體導入口36c。氣體導入口36c係在處理容器12內設於配置在載置台PD上之晶圓W的上方。氣體導入口36c係與氣體供給管38的一端連接。氣體供給管38的另一端係與閥群組42。
氣體導入口36c設於電極支撐體36。氣體導入口36c係透過氣體擴散室36a而將包含氟碳化物系氣體之氣體、包含氧原子之氣體、包含氟原子之氣體、包含氮原子及氫原子之氣體、Ar氣體、吹淨氣體(包含鈍性氣體等的氣體)及逆流防止氣體(包含鈍性氣體等的氣體)等導入至處理空間Sp。從氣體導入口36c透過氣體擴散室36a而供給至處理空間Sp的上述各種氣體係供給至晶圓W上亦即晶圓W與頂部電極30之間的空間區域。
在處理容器12設有氣體導入口52a。氣體導入口52a係在處理容器12內設於配置在載置台PD上之晶圓W的側方。氣體導入口52a係與氣體供給管82的一端連接。氣體供給管82的另一端係與閥群組42連接。
氣體導入口52a係設於處理容器12的側壁。氣體導入口52a係將包含有機胺基矽烷系氣體之氣體、逆流防止氣體(包含鈍性氣體等的氣體)等導入至處理空間Sp。從氣體導入口52a供給至處理空間Sp的上述各種氣體係從晶圓W的側方供給至晶圓W上亦即晶圓W與頂部電極30之間的空間區域。
連接於氣體導入口36c的氣體供給管38與連接於氣體導入口52a的氣體供給管82不會彼此相交。換言之,包含氣體導入口36c及氣體供給管38的氣體供給路徑,與包含氣體導入口52a及氣體供給管82的氣體供給路徑不會彼此相交。
在電漿處理裝置10中係沿著處理容器12的內壁而裝卸自如地設有防沉積板46。防沉積板46亦設於支撐部14的外周。防沉積板46係防止蝕刻副產物(沉積物)附著於處理容器12者,例如可將Y2 O3 等陶瓷被覆於鋁材而得之。防沉積板除了Y2 O3 之外,亦可具有例如包含氧的材料,如石英。
控制部Cnt係包含處理器、儲存部、輸入裝置及顯示裝置等的電腦,其控制圖2所示之電漿處理裝置10的各部。控制部Cnt係與電漿處理裝置10中的閥群組42、流量控制器群組45、排氣裝置50、第一高頻電源62、匹配器66、第二高頻電源64、匹配器68、電源70、加熱器電源HP及急冷器單元等連接。
控制部Cnt係在圖1所示之方法MT的各步驟中依照用於控制電漿處理裝置10之各部的電腦程式(基於已輸入之處理程序的程式)來動作,而送出控制訊號。電漿處理裝置10的各部係藉由來自控制部Cnt的控制訊號而受到控制。
具體而言,控制部Cnt可使用控制訊號,將圖2所示之電漿處理裝置10中從氣體源群組40所供給之氣體的選擇及流量、排氣裝置50的排氣、來自第一高頻電源62及第二高頻電源64的電力供給、來自電源70的電壓施加、加熱器電源HP的電力供給及來自急冷器單元的冷媒流量及冷媒溫度等加以控制。
又,本說明書中揭露的處理被處理基板之方法MT的各步驟,可藉由控制部Cnt的控制而使電漿處理裝置10的各部動作而執行。用於執行方法MT的電腦程式及用於方法MT之執行的各種資料係讀取自如地儲存在控制部Cnt的儲存部。
再次參照圖1詳細說明方法MT。以下,係針對使用電漿處理裝置10執行方法MT的例子進行說明。又,在以下的說明中,參照圖1~圖3並進一步參照圖4~圖10。
圖4包含(a)部分、(b)部分、(c)部分、(d)部分,係顯示圖1所示之各步驟實施前及實施後之晶圓W狀態的剖面圖。圖5包含(a)部分、(b)部分、(c)部分,係顯示圖1所示之方法之各步驟實施後之晶圓W狀態的剖面圖。圖6係顯示圖1所示之方法MT之各步驟執行中之氣體供給及高頻電源供給之狀態的圖式。圖7包含(a)部分、(b)部分、(c)部分,係示意地顯示圖1所示之方法MT中之保護膜SX之形成態樣的圖式。圖8係示意地顯示藉由圖1所示之方法MT的成膜步驟(程序SQ1及步驟ST6)而成膜之保護膜SX的膜厚與晶圓W的主面溫度之關係的圖式。圖9包含(a)部分、(b)部分、(c)部分,係顯示圖1所示之方法MT中的被蝕刻層EL之蝕刻原理的圖式。圖10係顯示處理容器12之內側的膜之形成態樣的圖式。
方法MT係在形成於晶圓W上之圖案(藉由在晶圓W之主面形成的凹凸而區劃出的圖案,例如後述藉由遮罩MK1而區劃出的圖案)成膜的成膜方法。晶圓W係在減壓環境下配置在設於可進行電漿處理之處理空間Sp的載置台PD。如上所述,在電漿處理裝置10中,可供給高頻電力的頂部電極30係與載置台PD相向而配置於處理空間Sp。如圖1所示,方法MT包含步驟ST1~步驟ST10。方法MT包含程序SQ1(如後所述,會有將程序SQ1中的步驟ST5a~步驟ST5d稱為薄膜形成步驟的情況)及程序SQ2。首先,在步驟ST1中,準備圖4之(a)部分所示之晶圓W以作為圖2所示之晶圓W。在步驟ST1中,係如圖10之狀態CON1所示,所有位於處理容器12內側之電漿處理裝置10之構成零件的表面(例如,使電漿產生之處理容器12的內壁面等,以下,會有僅稱為處理容器12之內側表面的情況)係露出於處理空間Sp。
如圖4之(a)部分所示,在步驟ST1中所準備之晶圓W包含基板SB、被蝕刻層EL、有機膜OL、抗反射膜AL及遮罩MK1。被蝕刻層EL係設於基板SB上。被蝕刻層EL係具有相對於有機膜OL會被選擇性地蝕刻之材料的膜層,採用絕緣膜。被蝕刻層EL例如可包含氧化矽。又,被蝕刻層EL亦會有包含多晶矽等其他材料的情況。
有機膜OL設於被蝕刻層EL上。有機膜OL係包含碳的膜層,例如SOH(旋轉塗布硬遮罩)層。抗反射膜AL係含有矽的抗反射膜,設於有機膜OL上。遮罩MK1設於抗反射膜AL上。遮罩MK1係包含光阻材料的光阻遮罩,其係藉由光微影技術將光阻層圖案化而製作。遮罩MK1係部分地覆蓋抗反射膜AL。遮罩MK1係區劃出使抗反射膜AL部分地露出之開口。遮罩MK1的圖案係例如線與間隔(line and space)圖案。又,遮罩MK1可包含在俯視觀察下提供圓形開口的圖案。或是,遮罩MK1可具有在俯視觀察下提供橢圓形開口的圖案。
在步驟ST1中,準備圖4之(a)部分所示之晶圓W,晶圓W係被收納至電漿處理裝置10之處理容器12的處理空間Sp內,並載置於載置台PD上。
在接續步驟ST1的步驟ST2中,將二次電子照射至晶圓W。具體而言,係從氣體導入口36c透過氣體供給管38而將氫氣及稀有氣體供給至處理容器12的處理空間Sp內,並藉由從第一高頻電源62供給高頻電力而產生電漿。又,藉由電源70將負的直流電壓施加至頂部電極30。藉此,處理空間Sp中的正離子會被吸引至頂部電極30,導致該正離子與頂部電極30碰撞。藉由正離子與頂部電極30碰撞,而從頂部電極30釋出二次電子。藉由將釋出的二次電子照射至晶圓W,而將遮罩MK1改質。在步驟ST2結束時,將處理容器12的處理空間Sp內吹淨。
在施加至頂部電極30之負的直流電壓,其絕對値的位準較高的情況下,藉由正離子與電極板34碰撞,而使該電極板34之構成材料亦即矽與二次電子一起釋出。釋出的矽會與從暴露於電漿之電漿處理裝置10的構成零件所釋出的氧結合。該氧係從例如支撐部14、絕緣遮蔽構件32、及防沉積板46等構件釋出。藉由如此之矽與氧的結合而產生氧化矽的化合物,該氧化矽的化合物會沉積在晶圓W上以覆蓋並保護遮罩MK1。
藉由該等改質及保護的效果,可抑制後續步驟所造成之遮罩MK1的損傷。又,在步驟ST2中,亦可為了藉由二次電子的照射所進行的改質或保護膜的形成,而使第二高頻電源64的偏壓電力為最小限度以抑制矽的釋出。
在接續步驟ST2的步驟ST3中,蝕刻抗反射膜AL。具體而言,如圖6的符號SRa所示,係從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,將包含氟碳化物系氣體之氣體供給至處理容器12的處理空間Sp內。此情況下,如圖6的符號SRb所示,不會從氣體導入口52a供給氣體,或是如圖6的符號SRb的虛線所示,透過氣體供給管82及氣體導入口52a,將逆流防止氣體供給至處理容器12的處理空間Sp內。
接著,如圖6的符號SRc所示,從第一高頻電源62供給高頻電力,並如圖6的符號SRd所示,從第二高頻電源64供給高頻偏壓電力。藉由使排氣裝置50動作,而將處理容器12之處理空間Sp內的空間壓力設定在預先設定好之壓力。藉此,產生氟碳化物系氣體的電漿。
產生之電漿中之包含氟的活性物種,會蝕刻抗反射膜AL整個區域中從遮罩MK1露出的區域。如圖4之(b)部分所示,藉由此蝕刻,從抗反射膜AL形成遮罩ALM。藉由步驟ST3所形成之對於有機膜OL的遮罩包含遮罩MK1及遮罩ALM。
在接續步驟ST3的步驟ST4中,進行與步驟ST2的方法相同的方法,如圖4之(c)部分所示,在遮罩MK1的表面、遮罩ALM的表面、及有機膜OL的表面形成氧化矽的保護膜PF。在步驟ST4結束時,將處理容器12的處理空間Sp內吹淨。又,在步驟ST3之後亦可不進行步驟ST4轉而執行程序SQ1。
在圖1所示之方法MT中,接續步驟ST4,係將程序SQ1執行一次以上。程序SQ1包含步驟ST5a~步驟ST5f。程序SQ1包含:第一步驟(步驟ST5a~步驟ST5d),在晶圓W的圖案形成沉積膜(構成保護膜SX的薄膜);及第二步驟(步驟ST5e~步驟ST5f),接續第一步驟,藉由將電力僅供給至頂部電極30,而使電漿產生於處理空間Sp,以清潔處理空間Sp。如圖4之(d)部分所示,包含程序SQ1及步驟ST6的成膜步驟包含:薄膜形成步驟(步驟ST5a、步驟ST5b、步驟ST5c、步驟ST5d),藉由與ALD法(ALD:Atomic Layer Deposition)相同的方法,對收納在電漿處理裝置10之處理容器12內之晶圓W的主面保形地形成薄膜(構成保護膜SX的膜);及清潔步驟(步驟ST5e,步驟ST5f),接續薄膜形成步驟,將位於處理容器12內之晶圓W上方(處理容器12內的頂棚側)的區域加以清潔。
如圖4之(d)部分所示,成膜步驟係透過步驟ST6將包含薄膜形成步驟與清潔步驟的程序SQ1重複執行,而在晶圓W的主面形成保護膜SX。在程序SQ1一次的執行中,係藉由執行薄膜形成步驟而在晶圓W的主面形成薄膜(構成保護膜SX的膜),並同時藉由執行清潔步驟將起因於該薄膜之形成而形成在處理容器12內側的薄膜(圖10所示之薄膜SXa)中,位於處理容器12之頂部(處理容器12內的頂棚側)的部分去除。
在步驟ST5a中,將包含前驅物(膜層Ly1)材料的第一氣體(氣體G1)供給至處理空間Sp,而使此前驅物吸附於圖案(藉由遮罩MK1所區劃出的圖案)表面。在步驟ST5a中,將氣體G1導入至處理容器12的處理空間Sp內。具體而言,如圖6的符號SRb所示,從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管82及氣體導入口52a,將氣體G1供給至處理容器12的處理空間Sp內。此情況下,如圖6的符號SRa所示,不會從氣體導入口36c供給氣體,或是如圖6的符號SRa的虛線所示,透過氣體供給管38及氣體導入口36c,將逆流防止氣體供給至處理容器12的處理空間Sp內。
在步驟ST5a中,如圖6的符號SRc、符號SRd所示,不會產生氣體G1的電漿。氣體G1例如為有機胺基矽烷系氣體。作為有機胺基矽烷系氣體,氣體G1包含單胺基矽烷(H3 -Si-R(R為胺基))。
如圖7的(a)部分所示,氣體G1的分子係作為反應前驅物而附著於晶圓W的主面。氣體G1的分子(例如單胺基矽烷)係藉由基於化學鍵結的化學吸附而附著於晶圓W的主面,並未使用電漿。在步驟ST5a中,晶圓W的溫度係在攝氏0度以上且在遮罩MK1所包含之材料的玻璃轉移溫度以下(例如攝氏200度以下)的程度。
又,只要可在該溫度範圍內藉由化學鍵結而附著於表面且含有矽者,亦可使用單胺基矽烷以外的氣體。關於二胺基矽烷(H2 -Si-R2(R為胺基))及三胺基矽烷(H-Si-R3(R為胺基)),由於具有較單胺基矽烷複雜的分子構造,故在作為氣體G1而使用的情況下,為了實現均一的膜的形成,因此,亦有為了使胺基自分解而進行熱處理的情況。
於氣體G1選擇單胺基矽烷系氣體作為一例的理由,係因為單胺基矽烷具有較高的陰電性且包含具有極性的分子構造,可較容易進行化學吸附。藉由使氣體G1的分子附著於晶圓W的主面而形成之膜層Ly1(參照圖7的(b)部分),會因該吸附為化學吸附故成為接近單分子層(單層)的狀態。
由於單胺基矽烷的胺基(R)越小,吸附於晶圓W之主面之分子的分子構造亦越小,故可降低起因於分子大小的立體障礙,因此,氣體G1的分子可均一地吸附於晶圓W的主面,並且能以均一的膜厚對晶圓W的主面形成膜層Ly1。例如,藉由氣體G1所包含之單胺基矽烷(H3 -Si-R)與晶圓W之主面的OH基進行反應,而形成反應前驅物的H3 -Si-O,藉此形成H3 -Si-O的單分子層亦即膜層Ly1。因此,可在不依存於晶圓W之圖案密度的情況下,以均一的膜厚對晶圓W的主面保形地形成反應前驅物的膜層Ly1。
在接續步驟ST5a的步驟ST5b中,將處理容器12的處理空間Sp內的空間吹淨。具體而言,係將步驟ST5a中所供給的氣體G1排出。在步驟ST5b中,亦可將氮氣等鈍性氣體作為吹淨氣體供給至處理容器12的處理空間Sp內。亦即,步驟ST5b的吹淨亦可為以下任一者:使鈍性氣體流入至處理容器12的處理空間Sp內的氣體吹淨、或是藉由抽真空所進行的吹淨。在步驟ST5b中,亦可將過多地附著於晶圓W上的分子去除。藉由以上方式,反應前驅物的膜層Ly1成為極薄的單分子層。
接續步驟ST5b的步驟ST5c係使第二氣體(氣體G2)的電漿產生,而將該電漿供給至前驅物(藉由步驟ST5a所形成的前驅物即膜層Ly1)的步驟。在步驟ST5c中,係在處理容器12的處理空間Sp內產生氣體G2的電漿P1。步驟ST5c中,氣體G2的電漿P1產生時的晶圓W溫度,係在攝氏0度以上且在遮罩MK1所包含之材料的玻璃轉移溫度以下(例如攝氏200度以下)。具體而言,如圖6的符號SRa所示,從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,將包含氧(O)的氣體G2供給至處理容器12的處理空間Sp內。氣體G2含有氧或是氮。氣體G2例如可包含O2 氣體(氧氣)。此情況下,如圖6的符號SRb所示,不會從氣體導入口52a供給氣體,或是如圖6的符號SRb的虛線所示,透過氣體供給管82及氣體導入口52a,將逆流防止氣體供給至處理容器12的處理空間Sp內。
接著,如圖6的符號SRc所示,從第一高頻電源62供給高頻電力,但如圖6的符號SRd所示,並未施加第二高頻電源64的偏壓電力。藉由使排氣裝置50動作,而將處理容器12的處理空間Sp內的空間壓力設定在預先設定好之壓力。又,亦可不使用第一高頻電源62而僅使用第二高頻電源64來產生電漿。
如上所述,藉由執行步驟ST5a而附著於晶圓W之主面的分子(構成膜層Ly1之單分子層的分子)包含矽與氫的鍵結。矽與氫的鍵能低於矽與氧的鍵能。因此,如圖7的(b)部分所示,當包含氧氣之氣體G2的電漿P1產生時,氧的活性物種例如氧自由基會產生,導致構成膜層Ly1之單分子層之分子的氫被取代成氧,因而如圖7的(c)部分所示,形成氧化矽的膜層Ly2作為單分子層。
在接續步驟ST5c的步驟ST5d中,將處理容器12的處理空間Sp內的空間吹淨。具體而言,係將步驟ST5c中所供給的氣體G2排出。步驟ST5d中,亦可將例如氮氣等鈍性氣體作為吹淨氣體而供給至處理容器12的處理空間Sp內。亦即,步驟ST5d的吹淨亦可為以下之任一者:使鈍性氣體流入至處理容器12的處理空間Sp內的氣體吹淨,或是藉由抽真空所進行的吹淨。
如以上所說明,於步驟ST5b中進行吹淨,在接續步驟ST5b的步驟ST5c中,構成膜層Ly1之分子的氫被取代成氧。因此,藉由執行薄膜形成步驟(步驟ST5a~步驟ST5d),會在晶圓W的主面上形成原子層級膜厚的薄膜(構成保護膜SX的膜)。藉由執行一次薄膜形成步驟,可與ALD法同樣地在不受遮罩MK1之疏密的影響下,以較薄且均一的膜厚將氧化矽的膜層Ly2保形地形成在晶圓W之主面上。再者,如圖10的狀態CON2所示,藉由執行薄膜形成步驟,而使薄膜SXa附著於處理容器12的內側表面。
接續步驟ST5d的步驟ST5e係將位於處理容器12內之晶圓W上方的區域加以清潔。更具體而言,步驟ST5e係將處理容器12內側中之頂部電極30側的表面加以清潔。在步驟ST5e中,如圖10的狀態CON3所示,係將因為執行薄膜形成步驟而附著在處理容器12之內側表面的薄膜SXa中,附著於頂部電極30側之表面的部分(位於處理容器12內之晶圓W上方之區域的部分)去除。
步驟ST5e係使第三氣體(氣體G3)的電漿產生於處理空間Sp。步驟ST5e中,係在處理容器12的處理空間Sp內產生氣體G3的電漿。步驟ST5e係使用從位於晶圓W上方的頂部電極30所供給的高頻電力,而在處理容器12內產生氣體G3的電漿。步驟ST5e並未施加使用了第二高頻電源64的偏壓。具體而言,如圖6的符號SRa所示,從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,而將氣體G3供給至處理容器12的處理空間Sp內。此情況下,如圖6的符號SRb所示,不會從氣體導入口52a供給氣體,或是如圖6的符號SRb的虛線所示,透過氣體供給管82及氣體導入口52a,而將逆流防止氣體供給至處理容器12的處理空間Sp內。
在步驟ST5e中,係採用以下的製程條件(以下,稱為條件群組CND)。亦即,如圖6的符號SRc、SRd所示,條件群組CND包含從第一高頻電源62供給高頻電力,但未施加第二高頻電源64的偏壓電力等的條件。條件群組CND更包含寬能隙條件。本說明書中寬能隙條件係指電極間隔在30[mm]以上的狀態。例如,經實驗確認在壓力100[mTorr]的條件下,當電極間隔未滿30[mm]時依存於間隙長度之電子及離子密度的變動降低,因此,較佳係具有至少30[mm]以上的電極間隔。條件群組CND更包含藉由使排氣裝置50動作,而將處理容器12的處理空間Sp內之空間的壓力設定在預先設定好之較高的壓力等的條件。本說明書中較高的壓力係指大約100[mTorr]以上的壓力。在100[mTorr]以上的壓力下,平均自由徑會在1[mm]以下,可充分地降低朝晶圓W側入射之自由基及離子,而抑制晶圓W側的蝕刻速率。
根據步驟ST5e之上述製程條件(條件群組CND),步驟ST5e之清潔中的蝕刻速率,其頂部電極30側(處理容器12內之頂部)係高於晶圓W側(處理容器12內之底部)。如上所述,條件群組CND包含:僅從第一高頻電源62供給高頻電力的條件、將處理容器12的處理空間Sp內的壓力設為較高之壓力的條件及寬能隙條件。
藉由條件群組CND中僅從第一高頻電源62供給高頻電力等的條件,可使電漿密度及電子密度集中於頂部電極30側。藉由條件群組CND中將處理容器12的處理空間Sp內的壓力設為較高之壓力的條件及寬能隙條件,可使電漿密度及電子密度之各密度分布更集中於頂部電極30側。
鞘層(Sheath)寬度係根據電子密度的變動而變動,而鞘層電壓係取決於陽極/陰極比。本說明書中,陽極/陰極比係指面積比,例如,可說係「將頂部電極30的面積和與頂部電極30導通之(與頂部電極30同電位之)部分的面積合併後的總面積」與「將底部電極LE的面積和與底部電極LE導通之(與底部電極LE同電位之)部分的面積合併後的總面積」之面積比。在條件群組CND中,陰極包含頂部電極30,陽極包含晶圓W(底部電極LE)及處理容器12內之內壁,由於比起陰極側之區域,陽極側之區域係相對較寬,故亦可降低鞘層電壓。
因此,如圖12及圖13所示,由於條件群組CND中,電子密度及鞘層電壓以及離子能量在從頂部電極30分離之晶圓W側中會充分地降低,故在使用條件群組CND的步驟ST5e之清潔中,晶圓W側的蝕刻速率會小於頂部電極30側。
圖12係表示處理容器12內之位置與電漿密度的相關性,圖12的橫軸係表示處理容器12內的位置,圖12的縱軸係表示電漿密度。圖13係表示處理容器12內的位置與離子能量的相關性,圖13的橫軸係表示處理容器12內的位置,圖13的縱軸係表示離子能量。此處,電漿密度係指電漿中的電子密度及離子密度。又,由於電子密度與離子密度係大致相等,故電漿密度的增減即反映了電子密度及離子密度的增減。
如圖11所示,依條件群組CND,頂部電極30側(處理容器12內之頂部)中之薄膜SXa的去除會比晶圓W側(處理容器12內之底部)中之薄膜SXa的去除更快完成。
圖11係表示圖1所示之清潔步驟之清潔(步驟ST5e)的執行時間,或是用於圖1所示之清潔步驟之清潔(步驟ST5e)的高頻電力,與因該清潔而成之薄膜SXa的殘留厚度之相關性的圖式。圖11的橫軸係表示步驟ST5e之清潔的執行時間,或是用於步驟ST5e之清潔的第一高頻電源62的高頻電力,圖11的縱軸係表示步驟ST5e之清潔後之薄膜SXa的殘留厚度。
在步驟ST5e的清潔中,頂部電極30側的蝕刻量(ET[nm])係頂部電極30側之蝕刻速率(ER[nm/sec])與蝕刻時間(T[sec])的乘積(ET[nm]=ER[nm/sec]×T[sec])。蝕刻時間(T[sec])係步驟ST5e之清潔的執行時間。由於蝕刻速率大致與第一高頻電源62的高頻電力(RF[W])成比例,故在步驟ST5e的清潔中,頂部電極30側的蝕刻量(ET[nm])係與RF[W]×T[sec]成比例。
因此,如圖11所示,只要將執行步驟ST5e之清潔時的頂部電極30側之薄膜SXa的膜厚(FT[nm])設定成蝕刻量(ET[nm])即(FT[nm]=ET[nm]),即可藉由使用滿足FT[nm]=RF[W]×T[sec]的RF[W]及T[sec],而充分地抑制對晶圓W的蝕刻,並同時充分地去除頂部電極30側的薄膜SXa。如此,可在步驟ST5e之清潔中設定之RF[W]、T[sec]的組合具有較高的自由度,並且可適當地選擇以與條件群組CND匹配。
氣體G3的氣體種類係氣體G1之氣體種類及氣體G2之氣體種類的組合,亦即,可特別因應形成於處理容器12內側之薄膜SXa的材料,而適當地選擇。
在薄膜SXa包含SiO2 之物質的情況,例如,氣體G1可為包含有機胺基矽烷系氣體的氣體,或是包含四氯化矽(SiCl4 )的氣體;氣體G2可為O2 氣體、CO2 氣體、CO氣體等包含氧(O)的氣體;氣體G3可含有鹵素化合物,例如為CF4 氣體、NF3 氣體、SF6 氣體等包含氟(F)的氣體。
在薄膜SXa包含鎢(W)之物質的情況,例如,氣體G1可為WF6 氣體等包含鹵化鎢的氣體;氣體G2可為包含氫(H2 )的氣體;氣體G3可為CF4 氣體、NF3 氣體、SF6 氣體等包含氟(F)的氣體。
在薄膜SXa為TiO、TiN等包含鈦(Ti)之物質的情況,例如,氣體G1可為包含四氯化鈦(TiCl4 )或是肆(二甲胺基)鈦(TDMAT)的氣體;氣體G2可為包含水(H2 O)或是氨(NH3 )的氣體;氣體G3可為CF4 氣體、NF3 氣體、SF6 氣體、Cl2 氣體等包含鹵素(F、Cl等)的氣體。
在薄膜SXa為BOx、BN等包含硼(B)之物質的情況,例如,氣體G1可為BBr3 氣體、BCl3 氣體等包含鹵化硼的氣體;氣體G2可為包含水(H2 O)或是氨(NH3 )的氣體;氣體G3可為CF4 氣體、NF3 氣體、SF6 氣體、Cl2 氣體等包含鹵素(F、Cl等)的氣體。
在薄膜SXa為有機膜的情況,氣體G1及氣體G2皆包含有機化合物氣體。更具體而言,在薄膜SXa為有機膜的情況,關於氣體G1及氣體G2如以下所述:(a)氣體G1包含電子供給性的取代基(第一取代基),且氣體G2包含電子吸引性的取代基(第二取代基)。或是,(b)氣體G1包含電子吸引性的取代基,且氣體G2包含電子供給性的取代基。在薄膜SXa為有機膜的情況,氣體G3為O2 氣體、CO2 氣體、CO氣體等包含氧(O)的氣體。又,在薄膜SXa為有機膜的情況,第一步驟(步驟ST5a~步驟ST5d)係將包含電子供給性之取代基的氣體G1供給至處理空間Sp,而使電子供給性的取代基吸附於圖案(藉由形成在晶圓W之主面的凹凸而區劃出的圖案,例如藉由遮罩MK1而區劃出的圖案)之表面的步驟,第二步驟(步驟ST5e~步驟ST5f)係將包含電子吸引性之取代基的氣體G2供給至電子供給性之取代基的步驟。如此,可藉由包含電子供給性之取代基的氣體G1的材料與包含電子吸引性之取代基的氣體G2的材料進行聚合反應,而形成沉積膜(構成保護膜SX的薄膜)。
在薄膜SXa為有機膜的情況,步驟ST5c中不會產生電漿,有機膜亦即薄膜SXa係藉由氣體G1的材料與氣體G2的材料進行聚合或是熱聚合而形成。在這般氣體G1的材料與氣體G2的材料進行聚合或是熱聚合的情況下,亦會與ALD法同樣地具有自我限制(self-limiting)作用。
在薄膜SXa為有機膜的情況,於薄膜形成步驟(特別是步驟ST5a及步驟ST5c)中,會有將晶圓W的溫度調節至例如攝氏30度以上攝氏200度以下的情況。
接著,更具體地說明關於薄膜SXa為有機膜的情況。在以下薄膜SXa為有機膜之情況的說明中,為了方便,係將氣體G1及氣體G2中的任一種氣體稱為氣體GA,而將氣體G1及氣體G2中該氣體GA以外的殘留氣體稱為氣體GB。
在薄膜SXa為有機膜(尿素樹脂)的情況,例如,氣體GA係包含具有電子供給性之取代基之二胺化合物的氣體,氣體GB係包含具有電子吸引性之取代基之異氰酸酯化合物的氣體。在薄膜SXa為尿素樹脂的情況,例如,氣體GA係包含具有電子供給性之取代基之尿素的氣體,氣體GB係包含具有電子吸引性之取代基之醛化合物的氣體。
第一步驟可藉由異氰酸酯與胺的聚合反應,或是異氰酸酯與具有羥基之化合物的聚合反應,而形成沉積膜(構成保護膜SX的薄膜)。
在薄膜SXa為聚醯胺樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之二胺化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之二羧酸化合物的氣體。
在薄膜SXa為聚酯樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之二醇化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之二羧酸化合物的氣體。
在薄膜SXa為聚碳酸酯樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之雙酚化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之光氣化合物的氣體。
在保護膜SX為聚胺酯樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之醇化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之異氰酸酯化合物的氣體。
在薄膜SXa為環氧樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之胺化合物或酸酐的氣體,氣體GB可為包含具有電子吸引性之取代基之環氧樹脂化合物的氣體。
在薄膜SXa為酚樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之酚化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之醛化合物的氣體。
在薄膜SXa為三聚氰胺樹脂的情況,例如,氣體GA可為包含具有電子供給性之取代基之三聚氰胺化合物的氣體,氣體GB可為包含具有電子吸引性之取代基之醛化合物的氣體。
在接續步驟ST5e的步驟ST5f中,將處理容器12的處理空間Sp內的空間吹淨。具體而言,係將步驟ST5e中所供給之氣體G3排出。在步驟ST5f中,亦可將例如氮氣等鈍性氣體作為吹淨氣體而供給至處理容器12的處理空間Sp內。亦即,步驟ST5f的吹淨亦可為以下任一者:使鈍性氣體流入至處理容器12的處理空間Sp內的氣體吹淨,或是藉由抽真空所進行之吹淨。
在接續程序SQ1的步驟ST6中,判斷程序SQ1的執行是否結束。具體而言,步驟ST6係判斷程序SQ1的執行次數是否已達到預先設定好之次數。程序SQ1之執行次數係取決於成膜於晶圓W上之保護膜SX的膜厚。
亦即,最終形成於晶圓W上之保護膜SX的膜厚係實質上取決於:藉由執行一次(單位週期)程序SQ1而形成之薄膜的膜厚與程序SQ1之執行次數的乘積。因此,程序SQ1的執行次數係因應形成於晶圓W上之保護膜SX之所期望的膜厚而加以設定。
在步驟ST6中判斷為程序SQ1的執行次數未達到預先設定好之次數的情況下(步驟ST6:否),係再次重複執行程序SQ1。另一方面,在步驟ST6中判斷為程序SQ1的執行次數已達到預先設定好之次數的情況下(步驟ST6:是),程序SQ1的執行結束,並轉移至步驟ST7。
如圖4之(d)部分所示,藉此在晶圓W之主面上形成氧化矽的保護膜SX。亦即,藉由使程序SQ1僅重複進行預先設定好之次數,而將具有預先設定好之膜厚的保護膜SX,在不受遮罩MK1之疏密的影響下,以均一的膜厚保形地形成在晶圓W之主面。
如圖4之(d)部分所示,保護膜SX包含區域R11、區域R21及區域R31。區域R31係在遮罩MK1之側面上及遮罩ALM之側面上,沿著該側面延伸的區域。區域R31係從有機膜OL的表面延伸至區域R11的下側。區域R11係在遮罩MK1之頂面上及區域R31上延伸。區域R21係在鄰接之區域R31間且在有機膜OL的表面上延伸。
如上所述,由於程序SQ1係藉由與ALD法相同的方法而形成保護膜SX,故不會受到遮罩MK1之疏密的影響,因此,區域R11、區域R21及區域R31之各自的膜厚為彼此大致相等的膜厚。
又,由於在上述程序SQ1及步驟ST6的成膜步驟中成膜之保護膜SX的膜厚會因應晶圓W之主面的溫度而增減,故在步驟ST4執行後且程序SQ1執行前,可進行以下步驟(在以下說明中,亦稱為溫度調節步驟):藉由使用溫度調節部HT而對晶圓W之主面之複數區域ER(參照圖3)中的每個區域調節晶圓W之主面的溫度,以調節晶圓W之主面上保護膜SX之膜厚。此溫度調節步驟在本發明之一實施態樣中,可包含於步驟ST1(準備晶圓的步驟),但並不限定於此,只要在最初的步驟ST5a執行前即可,例如可在步驟ST4之後執行。
在上述溫度調節步驟中,控制部Cnt係將預先取得到之表示「程序SQ1及步驟ST6之成膜步驟中的晶圓W之主面的溫度」與「沉積於溝槽(設於晶圓W之主面之圖案所包含的溝槽)內面之膜(藉由程序SQ1及步驟ST6之成膜步驟而形成的膜)的膜厚」之對應關係的對應資料DT加以使用,而對複數區域ER中的每個區域調節晶圓W之主面的溫度,以藉由在該溝槽中形成該膜而降低晶圓W之主面中的溝槽寬度之差異(或是,以將晶圓W之主面之每個區域ER的溝槽寬度設為所期望之寬度)。對應資料DT,係藉由在晶圓W之主面的各溫度中於與程序SQ1及步驟ST6的成膜步驟相同的條件(除了晶圓W之主面的溫度以外的條件)下使膜沉積在晶圓W的主面,而預先得到之資料,其讀取自如地儲存於控制部Cnt的儲存部。
亦即,在溫度調節步驟中,控制部Cnt係使用溫度調節部HT及對應資料DT而對每個區域ER調節晶圓W之主面的溫度,以使該溫度成為與每個區域ER所期望之膜厚對應的溫度。藉由對經溫度調節步驟調節溫度後之晶圓W之主面進行程序SQ1及步驟ST6的成膜步驟,可將晶圓W之主面中的溝槽寬度設為所期望之寬度,特別是可降低溝槽寬度的差異。
接著,參照圖8進行說明。圖8所示之線GRa係表示藉由程序SQ1所形成之薄膜(構成保護膜SX的膜)的膜厚與形成該膜之晶圓W之主面的溫度的對應關係,其與阿瑞尼士(Arrhenius)式(阿瑞尼士圖)對應。圖8的橫軸係表示藉由程序SQ1形成薄膜之晶圓W之主面的溫度。圖8的縱軸係表示藉由程序SQ1所形成之薄膜的膜厚。特別是圖8的縱軸所示之膜厚,係以達到程序SQ1中所使用之ALD法中的自我限制(self-limited)區域之時間以上的時間所形成之薄膜的膜厚。
如圖8所示,在晶圓W之主面的溫度為値T1的情況下,形成於晶圓W之該主面之膜的膜厚為値W1,而在晶圓W之該主面的溫度為値T2(T2>T1)的情況下,形成於晶圓W之該主面之膜的膜厚為値W2(W2>W1)。如此,在使用ALD法的情況下,形成於該主面之保護膜SX的膜厚可隨著晶圓W之主面的溫度越高而越厚。
接著,說明晶圓W之主面的溫度與用於形成保護膜SX之前驅物(膜層Ly1)的厚度的相關性。圖7所示之前驅物(膜層Ly1)的厚度會因應晶圓W之主面的溫度而增減,但前驅物的厚度與晶圓W之主面的溫度之相關性的程度係取決於處理容器12內的環境。
如圖14所示,包含前驅物材料之氣體(本發明之一實施態樣中為氣體G1)會因為進行以下中的任一者而消耗:前驅物吸附至被吸附表面(在本發明之一實施態樣中為晶圓W之主面)、前驅物吸附於處理容器12的內壁面、及未吸附於處理容器12中而直接排出。圖14係顯示處理容器12內之前驅物的消耗比例與ALD(在本發明之一實施態樣中為步驟ST5a~步驟ST5d的薄膜形成步驟)的週期數之相關性的圖式。圖14的橫軸係表示ALD的週期數,圖14的縱軸係表示前驅物的消耗比例。
如圖14所示,吸附於被吸附表面之前驅物的比例(區域Q1a)與吸附於處理容器12之內壁面之前驅物的比例(區域Q1b)的總合,大於未吸附於處理容器12內而直接排出之前驅物的比例(區域Q2)。
在ALD中,前驅物係化學吸附於被吸附表面,並僅吸附一層原子層。此情況下,在被吸附表面必需露出用於化學吸附(化學鍵結)前驅物的特定取代基,但剛進行完清潔後的處理容器12之內壁面,並不會露出化學吸附所必需的取代基。因此,在ALD之複數週期的初期,前驅物可藉由物理吸附而非化學吸附對處理容器12的內壁面進行多層吸附而非一層,以消耗多餘的前驅物。
因此,如圖15所示,在ALD之複數週期的初期,到達被吸附表面之前驅物的流量(分壓)程度較小。在包含前驅物材料之氣體中之前驅物為如此低流量的條件下,相當於數層原子層之分子數的變動所造成的影響較大,因此,在膜厚的控制中可實現較高的解析度。
圖15係顯示包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度與ALD之週期數的相關性的圖式。圖15的橫軸係表示ALD的週期數,圖15的縱軸係表示包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度。
另一方面,在ALD之複數週期的後期,膜形成於處理容器12的內壁面,而使化學吸附所必需的取代基露出於表面。此結果,會使處理容器12的內壁面只消耗一層原子層的前驅物,作為結果,如圖15所示,到達被吸附表面的包含前驅物材料之氣體的流量(分壓)程度會變大。如此,包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度會與ALD之週期數的增加一起變大。
被吸附表面中的前驅物的吸附量係根據被吸附表面的溫度而變化。圖16係顯示包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度,與被吸附表面中的前驅物之吸附量程度的相關溫度相依性的圖式。圖16的橫軸係表示包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度,圖16的縱軸係表示被吸附表面中的前驅物之吸附量程度。
如圖16所示,在ALD中,被吸附表面中的前驅物之吸附量係取決於包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度與被吸附表面的溫度。在被吸附表面的溫度較低的情況(圖16的虛線),若被吸附表面中的包含前驅物材料之氣體的流量(分壓)程度較小(區域K1),則被吸附表面中的前驅物之吸附量程度亦較小,當被吸附表面中的包含前驅物材料之氣體的流量(分壓)程度變得較大(區域K2),則被吸附表面中的前驅物之吸附量程度亦會變大,而使前驅物的吸附量接近飽和値。
另一方面,在被吸附表面之溫度較高的情況(圖16的實線),當包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度較小時(區域K1),被吸附表面中的前驅物之吸附量程度亦很大,因此,被吸附表面中的前驅物之吸附量程度,遍及包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度較大的範圍(區域K2),幾乎為飽和吸附量的程度。
在本發明之一實施態樣中,由於如程序SQ1這般,在每次執行ALD(步驟ST5a~步驟ST5d)的各週期時皆清潔處理容器12內部(步驟ST5e~步驟STf),故在執行ALD的各週期時,處理容器12內總是處於清潔後的狀態。由於處理容器12內之清潔後的狀態係與如圖14及圖15所示之ALD之複數週期之初期的處理容器12內的狀態對應,故在本發明之一實施態樣中執行ALD(步驟ST5a~步驟ST5d)的各週期時,包含前驅物材料之氣體(氣體G1)在被吸附表面(晶圓W之主面)中的流量(分壓)程度總是處於較小的程度,相當於圖16之區域K1的情況,因此,晶圓W之主面中的前驅物之吸附量程度可隨著晶圓W之主面溫度的增減而充分有效地增減。
如圖17的線Gc1所示,在如程序SQ1這般,每次執行ALD(步驟ST5a~步驟ST5d)的各週期時皆清潔處理容器12內部(步驟ST5e~步驟STf)的情況下,無論ALD的週期數如何增加,被吸附表面(晶圓W之主面)中的DPC(Deposition per cycle:每循環沉積)[nm/cycle]皆大致固定地維持在較小的値。另一方面,如圖17的線Gc2所示,在每次執行ALD的各週期時皆未進行清潔的情況下,被吸附表面中的DCP[nm/cycle]會隨著ALD的週期數增加而增加。
圖17係將ALD之各週期中,進行清潔的DPC與未進行清潔的DPC加以比較的圖式。圖17的橫軸係表示ALD的週期數,圖17的縱軸係表示被吸附表面中的DPC[nm/cycle]。
又,如此可藉由將朝晶圓W之主面之前驅物的吸附量抑制得較少,而以小於一層原子層的單位控制DPC[nm/cycle]。作為本發明之一實施態樣,對於晶圓W之主面與處理容器12內之內壁面加總後的面積吸附一層原子層時的吸附量(分子數),係設為ALD之每一週期的前驅物之供給量(分子數)的例如20%以上。亦即,相對於僅被覆晶圓W之主面及處理容器12內之內壁面整面的前驅物的總量,當前驅物的供給量不夠大時,由於前驅物的分壓變得較小,因而容易產生因溫度差而造成的吸附量的差。為了滿足此條件,列舉以下兩種方法:將包含前驅物材料之氣體G1的流量設定得非常低、及使處理容器12的容積增大。
如圖18所示,在未清潔處理容器12內的情況下,每一週期可形成之膜的厚度DCP[nm/cycle]較大,但無論ALD的週期如何增加,DCP[nm/cycle]皆為大致固定的値。圖18係顯示在未進行清潔之處理容器12之ALD的週期數與DPC之相關性的圖式。圖18的橫軸係表示ALD的週期數,圖18的縱軸係表示DPC[nm/cycle]。
又,雖然形成於主面的膜之厚度可根據晶圓W之主面的溫度而變化,但如圖18所示,在未進行清潔而重複進行沉積膜之形成的情況下,於重複進行沉積膜的形成的期間,因應晶圓W之主面溫度的膜厚的變化程度會降低,因此,會產生無法有效地進行晶圓W之主面的溫度調節的情況。相對於此,本發明之一實施態樣中之方法MT的成膜方法中,由於係在將晶圓W之主面溫度調節後再進行沉積膜之形成,並且每次進行沉積膜之形成亦進行清潔,故即使重複進行沉積膜之形成,晶圓W之主面的溫度調節亦可在晶圓W之主面中之沉積膜的膜厚控制中,充分有效地發揮作用。又,由於可使用預先取得到的對應資料DT,故可更正確且再現性良好地執行晶圓W之主面的溫度調節。
實際上,在處理容器12內有進行清潔的情況下,如圖19所示,每一週期可形成之膜的厚度DCP[nm/cycle]較小,但隨著ALD的週期增加,DCP[nm/cycle]亦會增加。圖19係顯示進行在清潔後之處理容器12內之ALD的週期數與DPC之相關性的圖式。圖19的橫軸係表示ALD的週期數,圖19的縱軸係表示DPC[nm/cycle]。
又,如上所述,程序SQ1包含:薄膜形成步驟(步驟ST5a~步驟ST5d),藉由與ALD法相同的方法進行成膜;及清潔步驟(步驟ST5e、步驟ST5f),在每執行一次該薄膜形成步驟時,清潔晶圓W上方(處理容器12內的頂棚側)之處理容器12的內側部分。由於薄膜形成步驟係與ALD法相同的方法,故藉由一次薄膜形成步驟而形成在處理容器12內側之膜的膜厚為原子層級的膜厚。因此,在每一次執行薄膜形成步驟時所進行的清潔步驟,由於係將如此之原子層級之膜厚的膜去除,故即使清潔步驟的執行時間非常短,亦可將處理容器12之內側的膜中在晶圓W上方的部分充分地去除。
例如,對一片晶圓W重複進行二十次程序SQ1的處理時間會短於將以下加總後的處理時間:不進行清潔步驟而僅重複進行二十次薄膜形成步驟的處理時間、及在此薄膜形成步驟後僅進行一次處理容器12之內側清潔的處理時間(在使用晶圓進行清潔的情況下,係包含該晶圓之搬運所需要的處理時間)。
圖20係顯示在進行了二十次薄膜形成步驟之情況下的每一枚晶圓W,其處理時間之詳細內容的圖式。圖21係表示每一枚晶圓W中之薄膜形成步驟的重複次數與處理時間之相關性的圖式。
不進行清潔步驟僅重複進行二十次薄膜形成步驟,並在重複進行二十次薄膜形成步驟後,使用晶圓而僅進行一次處理容器12之內側清潔時的處理時間(稱為處理時間TP1)的詳細內容係顯示於圖20的長方形GR1。長方形GR1中符號ALD1所示之部分係表示二十次薄膜形成步驟的處理時間。若將一次薄膜形成步驟的處理時間設為40[s/次]左右,則二十次薄膜形成步驟的處理時間為800[s](=40[s/次]×20[次])左右。
長方形GR1中符號DC1所示之部分係表示重複進行二十次薄膜形成步驟後,處理容器12之內側清潔所需要的處理時間。重複進行二十次薄膜形成步驟後,處理容器12之內側清潔所需要的處理時間為300[s]左右。長方形GR1中符號TR1所示之部分係表示搬運用於處理容器12之內側清潔的晶圓所需要的處理時間。搬運晶圓所需要的處理時間為60[s]左右。
因此,根據長方形GR1所示之處理時間,亦即,不進行清潔步驟僅重複進行二十次薄膜形成步驟,並在重複進行二十次薄膜形成步驟後,使用晶圓而僅進行一次處理容器12之內側清潔時的處理時間TP1為1160[s]左右。
又,不進行清潔步驟僅重複進行二十次薄膜形成步驟,並在重複進行二十次薄膜形成步驟後,不使用晶圓而僅進行一次處理容器12之內側清潔時的處理時間(稱為處理時間TP2)的詳細內容係顯示於圖20的長方形GR2。長方形GR2中符號ALD2所示之部分係表示二十次薄膜形成步驟的處理時間。若將一次薄膜形成步驟的處理時間設為40[s/次]左右,則二十次薄膜形成步驟的處理時間為800[s](=40[s/次]×20[次])左右。
長方形GR2中符號DC2所示之部分係表示在重複進行二十次薄膜形成步驟後,處理容器12之內側清潔所需要的處理時間。在重複進行二十次薄膜形成步驟後,處理容器12之內側清潔所需要的處理時間為300[s]左右。
因此,根據長方形GR2所示之處理時間,亦即,不進行清潔步驟僅重複進行二十次薄膜形成步驟,並在重複進行二十次薄膜形成步驟後,不使用晶圓而僅進行一次處理容器12之內側清潔時的處理時間TP2為1100[s]左右。
另一方面,將包含薄膜形成步驟及在薄膜形成步驟後所進行之清潔步驟的程序SQ1重複進行二十次時的處理時間(稱為處理時間TP3)的詳細內容係顯示於圖20的長方形GR3。長方形GR3中符號ALD3所示之部分係表示二十次包含薄膜形成步驟及在薄膜形成步驟後所進行之清潔步驟的程序SQ1的處理時間。若將一次包含薄膜形成步驟及清潔步驟的程序SQ1的處理時間設為45[s/次]左右,則二十次程序SQ1的處理時間TP3為900[s](=45[s/次]×20[次])左右。
如圖21所示,薄膜形成步驟的重複次數越多,上述處理時間TP1及處理時間TP2相較於依本發明之實施態樣之上述處理時間TP3會變得越長,而使兩者的差更明顯。
回到圖1進行說明。在接續步驟ST6的步驟ST7中,蝕刻(回蝕)保護膜SX以去除區域R11及區域R21。為了去除區域R11及區域R21,需要異向性的蝕刻條件。因此,在步驟ST7中,從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,將包含氟碳化物系氣體之氣體供給至處理容器12的處理空間Sp內。
接著,從第一高頻電源62供給高頻電力。從第二高頻電源64供給高頻偏壓電力。藉由使排氣裝置50動作,而將處理容器12的處理空間Sp內的空間壓力設定在預先設定好之壓力。藉此,產生氟碳化物系氣體的電漿。
產生之電漿中的包含氟素的活性物種係藉由高頻偏壓電力所產生之朝垂直方向的吸引,而優先地蝕刻區域R11及區域R21。其結果如圖5的(a)部分所示,區域R11及區域R21被選擇性地去除,並藉由殘留的區域R31而形成遮罩MS。遮罩MS、保護膜PF及遮罩ALM係構成有機膜OL表面上的遮罩MK2。
在接續步驟ST7的步驟ST8中,蝕刻有機膜OL。具體而言,從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,將包含氮氣及氫氣的氣體供給至處理容器12的處理空間Sp內。
接著,從第一高頻電源62供給高頻電力。從第二高頻電源64供給高頻偏壓電力。藉由使排氣裝置50動作,而將處理容器12的處理空間Sp內的空間壓力設定在預先設定好之壓力。藉此,產生包含氮氣及氫氣之氣體的電漿。
產生之電漿中的氫的活性物種亦即氫自由基,將有機膜OL整個區域中從遮罩MK2露出的區域加以蝕刻。藉此,如圖5的(b)部分所示,會從有機膜OL形成遮罩OLM。又,作為蝕刻有機膜OL的氣體,亦可使用包含氧的氣體。
在圖1所示之方法MT中,係在步驟ST8之後,將程序SQ2執行一次以上。如圖5的(b)部分及圖5的(c)部分所示,程序SQ2係藉由與ALE(Atomic Layer Etching:原子層蝕刻)法相同的方法,在不受遮罩OLM之疏密的影響下,以高選擇比精密地蝕刻被蝕刻層EL中未被遮罩OLM所覆蓋之區域的步驟,程序SQ2包含依序執行之步驟ST9a、步驟ST9b、步驟ST9c、步驟ST9d。
如圖5的(b)部分所示,步驟ST9a係在處理容器12的處理空間Sp內產生氣體G4的電漿,並將包含此電漿所含有之自由基的混合層MX形成在被蝕刻層EL之表面的原子層。混合層MX係形成在被蝕刻層EL中未被遮罩OLM覆蓋之區域表面的原子層。步驟ST9a中,在晶圓W載置於靜電夾頭ESC上的狀態下,將氣體G4供給至處理容器12的處理空間Sp內,而產生氣體G4的電漿。
氣體G4係含有矽之適用於蝕刻被蝕刻層EL的蝕刻氣體,例如包含氟碳化物系氣體及稀有氣體,例如可為Cx Fy /Ar氣體。Cx Fy 例如可為CF4 。具體而言,從氣體源群組40的複數氣體源中所選出的氣體源,將包含氟碳化物系氣體及稀有氣體的氣體G4,透過氣體供給管38及氣體導入口36c,而供給至處理容器12的處理空間Sp內。
接著,從第一高頻電源62供給高頻電力,從第二高頻電源64供給高頻偏壓電力,並藉由使排氣裝置50動作而將處理容器12的處理空間Sp內的空間壓力設定在預先設定好之壓力。如此一來,氣體G4的電漿便會在處理容器12的處理空間Sp內產生。氣體G4的電漿包含碳自由基及氟自由基。
圖9中,反白的圓(白色圓圈)係表示構成被蝕刻層EL的原子,塗黑的圓(黑色圓圈)係表示自由基,被圓所包圍的「+」係後述氣體G5所包含之稀有氣體之原子的離子(例如Ar原子的離子)。如圖9的(a)部分所示,藉由步驟ST9a,氣體G4之電漿所包含的碳自由基及氟自由基會供給至被蝕刻層EL的表面。
如此,藉由步驟ST9a,將構成被蝕刻層EL的原子與碳自由基及氟自由基加以包含的混合層MX會如圖5的(b)部分所示,形成在被蝕刻層EL的表面。
如以上所述,由於氣體G4包含氟碳化物系氣體,故在步驟ST9a中,氟自由基及碳自由基會供給至被蝕刻層EL之表面的原子層,而可在該原子層形成含有該兩自由基的混合層MX。
在接續步驟ST9a的步驟ST9b中,將處理容器12的處理空間Sp內的空間吹淨。具體而言,係將步驟ST9a中所供給的氣體G4排出。在步驟ST9b中,亦可將例如氮氣或是稀有氣體(例如Ar氣體等)等鈍性氣體作為吹淨氣體供給至處理容器12的處理空間Sp內。亦即,步驟ST9b的吹淨亦可為以下任一者:使鈍性氣體流入處理容器12的處理空間Sp內的氣體吹淨,或是藉由抽真空所進行的吹淨。
在接續步驟ST9b的步驟ST9c中,在處理容器12的處理空間Sp內產生氣體G5的電漿,並將偏壓施加至該電漿,以去除混合層MX。氣體G5包含稀有氣體,例如可包含Ar氣體。
具體而言,係從氣體源群組40的複數氣體源中所選出的氣體源,透過氣體供給管38及氣體導入口36c,將包含稀有氣體(例如Ar氣體)的氣體G5,供給至處理容器12的處理空間Sp內,並從第一高頻電源62供給高頻電力,從第二高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理容器12的處理空間Sp內的空間壓力設定在預先設定好之壓力。如此一來,氣體G5的電漿便會在處理容器12的處理空間Sp內產生。
產生之電漿中的氣體G5之原子的離子(例如Ar原子的離子)係藉由高頻偏壓電力所產生之朝垂直方向的吸引,而與被蝕刻層EL之表面的混合層MX碰撞,將能量供給至該混合層MX。如圖9的(b)部分所示,在步驟ST9c中,能量透過氣體G5之原子的離子而供給至形成在被蝕刻層EL之表面的混合層MX,並可藉由此能量將混合層MX從被蝕刻層EL去除。
如以上所述,由於氣體G5包含稀有氣體,故在步驟ST9c中,可藉由該稀有氣體的電漿由偏壓接受的能量,而將形成在被蝕刻層EL表面的混合層MX從該表面去除。
在接續步驟ST9c的步驟ST9d中,將處理容器12的處理空間Sp內的空間吹淨。具體而言,係將步驟ST9c中所供給的氣體G5排出。在步驟ST9d中,亦可將例如氮氣或是稀有氣體(例如Ar氣體等)等鈍性氣體作為吹淨氣體供給至處理容器12。亦即,步驟ST9d的吹淨亦可為以下任一者:使鈍性氣體流入處理容器12的處理空間Sp內的氣體吹淨,或是藉由抽真空所進行的吹淨。
如圖9的(c)部分所示,藉由在步驟ST9d所進行的吹淨,可將構成被蝕刻層EL表面之混合層MX的原子,及氣體G5之電漿所包含之過多的離子(例如Ar原子的離子)充分地去除。
在接續程序SQ2的步驟ST10中,判斷程序SQ2的執行是否結束。具體而言,步驟ST10係判斷程序SQ2的執行次數是否已達到預先設定好之次數。程序SQ2之執行次數的設定係取決於對被蝕刻層EL的蝕刻程度(深度)。
程序SQ2可在將被蝕刻層EL蝕刻至基板SB的表面為止重複執行。亦即,能夠以「使藉由執行一次(單位週期)程序SQ2所蝕刻之被蝕刻層EL的厚度與程序SQ2之執行次數的乘積成為被蝕刻層EL自體之整體厚度」的方式,決定程序SQ2的執行次數。因此,可因應被蝕刻層EL的厚度而設定程序SQ2的執行次數。
在步驟ST10中判斷為程序SQ2的執行次數未達到預先設定好之次數的情況下(步驟ST10:否),會再次重複執行程序SQ2。另一方面,在步驟ST10中判斷為程序SQ2的執行次數達到預先設定好之次數的情況下(步驟ST10:是),便結束執行程序SQ2。
如圖10的狀態CON1所示,藉由程序SQ1的薄膜形成步驟(步驟ST5a~步驟ST5d)而形成在處理容器12之內側的薄膜SXa(更具體而言,係形成在處理容器12之內側的薄膜SXa中,於清潔步驟(步驟ST5e、步驟ST5f)所進行之清潔後所殘留的部分,圖10之狀態CON3所示之狀態的薄膜SXa),係藉由以上說明之包含程序SQ2及步驟ST10的步驟而全部去除。
如以上所述,包含程序SQ2及步驟ST10的步驟係藉由與ALE法相同的方法,使用遮罩OLM並重複執行程序SQ2而逐一原子層地去除被蝕刻層EL,以精密地蝕刻被蝕刻層EL。
藉由執行上述說明之圖1所示之方法MT,例如就一例而言可獲得以下效果。由於每次藉由執行一次薄膜形成步驟(步驟ST5a~步驟ST5d)而形成薄膜,便會進行清潔步驟(步驟ST5e、步驟ST5f),故藉由清潔步驟所進行之對於處理容器12內位於晶圓W上方之區域(處理容器12內位於頂部電極30側的區域)的該薄膜的去除會較為容易。
又,在薄膜形成步驟中,可藉由氣體G1而在晶圓W之主面形成反應前驅物(例如圖7的(b)部分所示之膜層Ly1),並可藉由氣體G2而對該反應前驅物保形地形成薄膜。此薄膜亦會形成在處理容器12內,但對於處理容器12內位於晶圓W上方之區域(處理容器12內位於頂部電極30側的區域)的薄膜,可藉由使用從處理容器12之頂部電極30供給的高頻電力而產生之氣體G3的電漿加以去除(清潔)。
又,作為供給氣體之構成,並不限定於圖2所示之構成。亦即,亦可不使用圖2所示之氣體導入口36c、氣體供給管38、氣體源群組40、閥群組42、流量控制器群組45、氣體導入口52a及氣體供給管82,而係使用圖22所示之氣體供給系統1。圖22係氣體供給系統1的概要圖。圖22所示之氣體供給系統1係將氣體供給至電漿處理裝置10之處理容器12內的處理空間Sp之系統的一例。圖22所示之氣體供給系統1包含:第一流道L1、第二流道L2、氣體噴吐孔34a、氣體噴吐孔34b及複數膈膜閥(膈膜閥DV1、膈膜閥DV2、膈膜閥DV3、膈膜閥DV4)。
第一流道L1係與第一氣體的第一氣體源GS1連接。第一流道L1係形成在構成處理空間Sp之頂棚的頂棚構件(例如頂部電極30)的內部或是處理容器12之側壁的內部。複數氣體噴吐孔34b係使第一流道L1與處理空間Sp連通。第二流道L2係與第二氣體的第二氣體源GS2連接。第二流道L2係形成在上述頂棚構件的內部或是處理容器12之側壁的內部。複數氣體噴吐孔34a係使第二流道L2與處理空間Sp連通。複數膈膜閥(膈膜閥DV1~膈膜閥DV4)係分別在第一流道L1與氣體噴吐孔34b之間,與氣體噴吐孔34b對應而設置。
參照圖22及圖23,更詳細地說明氣體供給系統1的構成。圖23係在使用圖22所示之氣體供給系統1之情況下的頂部電極30的概略剖面圖。氣體供給系統1包含第一氣體源GS1及第二氣體源GS2。第一氣體源GS1儲存第一氣體。第二氣體源GS2儲存第二氣體。第一氣體及第二氣體為任意之氣體。就一例而言,亦可將第二氣體作為製程的主要氣體,而第一氣體作為製程的添加氣體。又,氣體G1亦可為從氣體導入口52a導入至處理空間Sp的氣體,氣體G2亦可為從氣體導入口36c導入至處理空間Sp的氣體。
氣體供給系統1包含第一主流道L10及第二主流道L20。第一主流道L10係透過供給口IN1而連接第一氣體源GS1與處理容器12的第一流道L1。第二主流道L20係透過供給口IN4而連接第二氣體的第二氣體源GS2與處理容器12的第二流道L2。第一主流道L10及第二主流道L20係以例如配管的方式形成。圖22及圖23所示之第二流道L2係與圖1所示之氣體擴散室36a對應。
第一流道L1與第一氣體源GS1連接,並形成在處理容器12之頂部電極30(頂棚構件之一例)的內部,或是處理容器12之側壁的內部。第一流道L1包含供給第一氣體的供給口IN1、及排出第一氣體的排氣口OT1,並從供給口IN1延伸至排氣口OT1。排氣口OT1係透過排氣流道EK而與排出處理容器12之氣體的排氣裝置51連接。
第一流道L1與處理容器12內的處理空間Sp係藉由複數氣體噴吐孔34b而連通。第一氣體係從分別與第一流道L1連接之複數氣體噴吐孔34b供給至處理容器12的處理空間Sp。
一個膈膜閥係與一個氣體噴吐孔34b對應而設於第一流道L1與氣體噴吐孔34b之間。亦即,氣體供給系統1包含與複數氣體噴吐孔34b對應的複數膈膜閥。就一例而言,在圖22中係顯示了與四個氣體噴吐孔34b對應的四個膈膜閥(膈膜閥DV1~膈膜閥DV4)。四個膈膜閥(膈膜閥DV1等)可分別獨立動作。
膈膜閥之一例為開(ON)/關(OFF)閥。複數氣體噴吐孔34b並不限定於四個,而係只要二個以上即可。又,複數膈膜閥只要分別與複數氣體噴吐孔34b對應而設置即可,並不限定於四個。
一個孔口亦可與一個氣體噴吐孔34b對應而設於第一流道L1與氣體噴吐孔34b之間。孔口係配置在比膈膜閥更上遊側。就一例而言,在圖22中係顯示了四個孔口(孔口OK1、孔口OK2、孔口OK3、孔口OK4)。各膈膜閥係控制從孔口之出口供給至氣體噴吐孔34b之第一氣體的供給時間點。複數孔口只要分別與複數氣體噴吐孔34b對應而設置即可,並不限定於四個。
第二流道L2與第二氣體源GS2連接,並形成在處理容器12之頂部電極30的內部或是處理容器12之側壁的內部。第二流道L2與複數氣體噴吐孔34a連接。第二氣體係從分別與第二流道L2連接之複數氣體噴吐孔34a供給至處理容器12的處理空間Sp。
氣體供給系統1亦可包含壓力式流量控制裝置FC。壓力式流量控制裝置FC配置於第二主流道L20中之第二氣體源GS2的下遊側。在壓力式流量控制裝置FC的上遊側設置一次閥VL4,在壓力式流量控制裝置FC的下遊側設置二次閥VL5。
又,流量控制裝置並不限定於壓力式流量控制裝置,亦可為熱式流量控制裝置或基於其他原理的流量控制裝置。
第二氣體源GS2的第二氣體係藉由壓力式流量控制裝置FC調整流量及壓力,再透過供給口IN4供給至處理容器12的第二流道L2。
氣體供給系統1亦可包含控制閥VL1。控制閥VL1配置於第一主流道L10中之第一氣體源GS1的下遊側。控制閥VL1設於供給口IN1的上游側,並將供給至供給口IN1的第一氣體控制在預先設定好之壓力。
控制閥VL1與壓力式流量控制裝置FC所具備之控制閥具有相同的功能。在控制閥VL1與供給口IN1之間的流道中亦可配置第一壓力偵測器PM1。
就一例而言,控制閥VL1係基於第一壓力偵測器PM1的偵測結果而控制第一氣體的流量。就更具體的一例而言,控制電路C1係設定控制閥VL1的動作。
控制電路C1係輸入藉由第一壓力偵測器PM1偵測到的壓力,而進行偵測到之壓力的流量運算。接著,控制電路C1將設定好之目標流量與計算出之流量加以比較,而以使差異量變小的方式設定控制閥VL1的動作。
又,亦可將一次閥設於第一氣體源GS1與控制閥VL1之間。亦可將二次閥設於控制閥VL1的下游且第一壓力偵測器PM1的上游。又,亦可將控制電路C1及控制閥VL1單元化而作為單元U1。
氣體供給系統1具有更包含偵測從排氣口OT1排出之第一氣體之壓力的第二壓力偵測器PM2的情況。此情況下,就一例而言,控制閥VL1係基於第一壓力偵測器PM1及第二壓力偵測器PM2的偵測結果而控制第一氣體的流量。
更具體而言,係基於第一壓力偵測器PM1的偵測結果及第二壓力偵測器PM2的偵測結果,而計算各孔口之配置位置的第一氣體的壓力。接著,基於壓力的計算結果來控制藉由各膈膜閥所控制之第一氣體的供給時間點。
氣體供給系統1具有包含偵測第一流道L1中之第一氣體之溫度的溫度偵測器TM(參照圖23)的情況。此情況下,控制閥VL1係使用溫度偵測器TM而與壓力式流量控制裝置FC所具備之控制閥同樣地進行流量修正。具體而言,控制閥VL1係基於溫度偵測器TM的偵測結果而控制第一氣體的流量。
第一氣體源GS1的第一氣體係藉由控制閥VL1而調整流量及壓力,再透過供給口IN1供給至處理容器12的第一流道L1。又,在第一流道L1的排氣口OT1亦可設置排氣用孔口OKEx。
電漿處理裝置10的控制部Cnt係使氣體供給系統1中的控制閥VL1、複數膈膜閥(膈膜閥DV1~膈膜閥DV4等)動作。
控制部Cnt係輸入儲存在儲存部的處理程序,而將訊號輸出至氣體供給系統1中使控制閥VL1動作的控制電路C1。控制部Cnt係輸入儲存在儲存部的處理程序,而控制氣體供給系統1中複數膈膜閥(膈膜閥DV1~膈膜閥DV4等)的開關動作。控制部Cnt可透過控制電路C1而使氣體供給系統1中的排氣裝置51動作。又,用於執行方法MT的電腦程式,及用於方法MT之執行的各種資料(例如,對應資料DT)係讀取自如地儲存在控制部Cnt的儲存部。
排氣裝置50及排氣裝置51係透過排氣管52而與排氣口12e連接。排氣裝置50為渦輪分子泵,排氣裝置51為乾式泵浦。對於處理容器12而言,排氣裝置50係設於比排氣裝置51更上遊側。
氣體供給系統1的排氣流道EK係與排氣裝置50及排氣裝置51之間的配管連接。藉由將排氣流道EK連接在排氣裝置50與排氣裝置51之間,而抑制從排氣流道EK往處理容器12內之氣體的逆流。
如圖23所示,在頂部電極30之電極支撐體36的內部設有在水平方向上延伸的第一流道L1及第二流道L2。第一流道L1係位於第二流道L2的下方。
在電極支撐體36設有複數氣體流通孔36d,其與第一流道L1及在第一流道L1下方延伸的複數氣體噴吐孔34b連接。在電極支撐體36的第一流道L1與氣體噴吐孔34b之間設有孔口OK1及膈膜閥DV1。在膈膜閥DV1的底部配置可發揮閥功能的封閉構件74。
封閉構件74能以具有可撓性的構件構成。封閉構件74亦可為例如彈性構件、膈膜、伸縮囊等。
在第一流道L1流動的第一氣體,於膈膜閥DV1打開時,係通過孔口OK1的出口、氣體流通孔36d、及氣體噴吐孔34b而供給至處理空間Sp。其他氣體噴吐孔34b亦具備相同的構成。又,在電極支撐體36設有用於將控制閥VL1進行流量修正的溫度偵測器TM。
在電極支撐體36設有複數氣體流通孔36b,其與第二流道L2及在第二流道L2下方延伸的複數氣體噴吐孔34a連接。第二氣體係透過供給口IN4供給,並通過複數氣體流通孔36b及複數氣體噴吐孔34a而供給至處理空間Sp。
以上,雖在較佳之實施態樣中圖示說明本發明的原理,但該技術領域中具通常知識者應認知到,本發明只要不從此等原理脫離可在配置及細節上進行變更。本發明並不限定於在本實施態樣所揭露之特定構成。因此,發明人請求源自發明申請專利範圍及其精神範圍之全部修正及變更的權利。
1‧‧‧氣體供給系統
10‧‧‧電漿處理裝置
12‧‧‧處理容器
12e、OT1‧‧‧排氣口
12g‧‧‧搬入搬出口
14‧‧‧支撐部
18a‧‧‧第一板部
18b‧‧‧第二板部
22‧‧‧直流電源
23‧‧‧開關
24‧‧‧冷媒通道
26a、26b‧‧‧配管
28‧‧‧氣體供給管線
30‧‧‧頂部電極
32‧‧‧絕緣遮蔽構件
34‧‧‧電極板
34a、34b‧‧‧氣體噴吐孔
36‧‧‧電極支撐體
36a‧‧‧氣體擴散室
36b、36d‧‧‧氣體流通孔
36c、52a‧‧‧氣體導入口
38、82‧‧‧氣體供給管
40‧‧‧氣體源群組
42‧‧‧閥群組
45‧‧‧流量控制器群組
46‧‧‧防沉積板
48‧‧‧排氣板部
50、51‧‧‧排氣裝置
52‧‧‧排氣管
54‧‧‧閘門閥
62‧‧‧第一高頻電源
64‧‧‧第二高頻電源
66、68‧‧‧匹配器
70‧‧‧電源
74‧‧‧封閉構件
AL‧‧‧抗反射膜
ALD1~ALD3、DC1~DC2、TR1、SRa~SRd‧‧‧符號
ALM、MK1、MK2、MS、OLM‧‧‧遮罩
C1‧‧‧控制電路
Cnt‧‧‧控制部
CON1、CON2、CON3‧‧‧狀態
DV1~DV4‧‧‧膈膜閥
EK‧‧‧排氣流道
EL‧‧‧被蝕刻層
ER、K1、K2、Q1a、Q1b、Q2、R11、R21、R31‧‧‧區域
ESC‧‧‧靜電夾頭
FC‧‧‧壓力式流量控制裝置
FR‧‧‧對焦環
G1~G5、a1、a2‧‧‧氣體
GS1‧‧‧第一氣體源
GS2‧‧‧第二氣體源
GRa、Gc1、Gc2‧‧‧線
GR1~GR3‧‧‧長方型
HP‧‧‧加熱器電源
HT‧‧‧溫度調節部
IN1、IN4‧‧‧供給口
L1‧‧‧第一流道
L10‧‧‧第一主流道
L2‧‧‧第二流道
L20‧‧‧第二主流道
LE‧‧‧底部電極
Ly1、Ly2‧‧‧膜層
MT‧‧‧方法
MX‧‧‧混合層
OK1~OK4‧‧‧孔口
OKEx‧‧‧排氣用孔口
OL‧‧‧有機膜
P1‧‧‧電漿
PD‧‧‧載置台
PF‧‧‧保護膜
PM1‧‧‧第一壓力偵測器
PM2‧‧‧第二壓力偵測器
SB‧‧‧基板
Sp‧‧‧處理空間
SX‧‧‧保護膜
SXa‧‧‧薄膜
ST1~ST4、ST5a~ST5f、ST6~ST8、ST9a~ST9d、ST10‧‧‧步驟
SQ1、SQ2‧‧‧程序
TM‧‧‧溫度偵測器
T1、T2、W1、W2‧‧‧值
TP1~TP3‧‧‧處理時間
U1‧‧‧單元
VL1‧‧‧控制閥
VL4‧‧‧一次閥
VL5‧‧‧二次閥
W‧‧‧晶圓
圖1係顯示處理依本發明之一實施態樣之被處理基板之方法的流程圖。
圖2係顯示用於執行圖1所示之方法之依本發明之一實施態樣的電漿處理裝置之一例的圖式。
圖3係示意地顯示將處理依本發明之一實施態樣之被處理基板之方法中,經分割後之被處理基板之主面的複數區域之一部分作為一例的圖式。
圖4包含(a)部分、(b)部分、(c)部分、(d)部分,係顯示圖1所示之各步驟實施前及實施後之被處理基板狀態的剖面圖。
圖5包含(a)部分、(b)部分、(c)部分,係顯示圖1所示之方法之各步驟實施後之被處理基板狀態的剖面圖。
圖6係顯示圖1所示之方法之各步驟執行中的氣體供給及高頻電源供給之狀態的圖式。
圖7包含(a)部分、(b)部分、(c)部分,係示意地顯示圖1所示之方法中的保護膜之形成態樣的圖式。
圖8係示意地顯示藉由圖1所示之方法而形成之保護膜的膜厚與被處理基板之主面的溫度之關係的圖式。
圖9包含(a)部分、(b)部分、(c)部分,係顯示圖1所示之方法中的被蝕刻層之蝕刻原理的圖式。
圖10係顯示圖2所示之處理容器之內側的膜之形成態樣的圖式。
圖11係表示圖1所示之清潔步驟的執行時間或是用於圖1所示之清潔步驟的高頻電力,與因清潔而成之膜的殘留厚度之相關性的圖式。
圖12係表示圖2所示之處理容器內之位置與電漿密度之相關性的圖式。
圖13係表示圖2所示之處理容器內之位置與離子能量之相關性的圖式。
圖14係顯示處理容器內之前驅物的消耗比例與ALD(ALD:Atomic Layer Deposition)之週期數之相關性的圖式。
圖15係顯示包含前驅物材料的氣體在被吸附表面中的流量(分壓)程度與ALD之週期數之相關性的圖式。
圖16係顯示包含前驅物材料之氣體在被吸附表面中的流量(分壓)程度,與被吸附表面中的前驅物之吸附量程度之相關溫度相依性的圖式。
圖17係將ALD之各週期中,進行清潔的DPC與未進行清潔的DPC加以比較的圖式。
圖18係顯示未進行清潔之處理容器內的ALD之週期數與DPC之相關性的圖式。
圖19係顯示進行清潔之處理容器內的ALD之週期數與DPC之相關性的圖式。
圖20係顯示每一枚被處理基板的處理時間之詳細內容的圖式。
圖21係表示每一枚被處理基板中的薄膜形成步驟之重複次數與處理時間之相關性的圖式。
圖22係氣體供給系統的概要圖。
圖23係在使用了圖22所示之氣體供給系統的情況下之頂部電極的概略剖面圖。

Claims (13)

  1. 一種成膜方法,係在形成於被處理基板上之圖案進行成膜,該被處理基板係在減壓環境下配置在設於可進行電漿處理之空間的載置台,並且可供給高頻電力的頂部電極係與該載置台相向而配置於該空間; 該方法係將對該被處理基板之主面之複數區域中的各該區域調節該被處理基板之該主面之溫度的溫度調節步驟加以執行後,重複執行包含以下步驟之程序:第一步驟,在該被處理基板的該圖案形成沉積膜;及第二步驟,藉由將電力僅供給至該頂部電極而使電漿產生於該空間,以清潔該空間。
  2. 如請求項第1項所述之成膜方法,其中, 該溫度調節步驟係使用預先取得到之表示該主面之溫度與該沉積膜之膜厚的對應關係的對應資料,而對該等複數區域中的各該區域調節該主面的溫度。
  3. 如請求項第1或2項所述之成膜方法,其中, 該第一步驟包含以下步驟: 將包含前驅物材料的第一氣體供給至該空間,而使該前驅物吸附於該圖案之表面的步驟;及 使第二氣體的電漿產生,而將該電漿供給至該前驅物的步驟。
  4. 如請求項第3項所述之成膜方法,其中, 該第一氣體為胺基矽烷系氣體。
  5. 如請求項第3項所述之成膜方法,其中, 該第二氣體含有氧或氮。
  6. 如請求項第3項所述之成膜方法,其中, 在該第二步驟中,使第三氣體的電漿產生於該空間; 該第三氣體含有鹵素化合物。
  7. 如請求項第3項所述之成膜方法,其中, 該第一氣體的胺基矽烷系氣體包含具有1至3個矽原子的胺基矽烷。
  8. 如請求項第3項所述之成膜方法,其中, 該第一氣體的胺基矽烷系氣體包含具有1至3個胺基的胺基矽烷。
  9. 如請求項第3項所述之成膜方法,其中, 該第一氣體含有鹵化鎢。
  10. 如請求項第3項所述之成膜方法,其中, 該第一氣體含有四氯化鈦或肆(二甲胺基)鈦。
  11. 如請求項第3項所述之成膜方法,其中, 該第一氣體含有鹵化硼。
  12. 如請求項第1或2項所述之成膜方法,其中, 該第一步驟包含以下步驟: 將包含電子供給性之第一取代基的第一氣體供給至該空間,而使該第一取代基吸附於該圖案之表面的步驟;及 將包含電子吸引性之第二取代基的第二氣體供給至該第一取代基的步驟。
  13. 如請求項第1或2項所述之成膜方法,其中, 該第一步驟係藉由異氰酸酯與胺的聚合反應,或是異氰酸酯與具有羥基之化合物的聚合反應,而形成該沉積膜。
TW108100267A 2018-01-10 2019-01-04 成膜方法 TWI833726B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018001930A JP7089881B2 (ja) 2018-01-10 2018-01-10 成膜方法
JP2018-001930 2018-01-10

Publications (2)

Publication Number Publication Date
TW201933479A true TW201933479A (zh) 2019-08-16
TWI833726B TWI833726B (zh) 2024-03-01

Family

ID=67140948

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100267A TWI833726B (zh) 2018-01-10 2019-01-04 成膜方法

Country Status (5)

Country Link
US (1) US11367610B2 (zh)
JP (1) JP7089881B2 (zh)
KR (1) KR102623770B1 (zh)
CN (1) CN110029325B (zh)
TW (1) TWI833726B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764369B (zh) * 2019-11-12 2022-05-11 美商應用材料股份有限公司 減少氫沉積製程

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
JP7521229B2 (ja) 2020-03-30 2024-07-24 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP7499602B2 (ja) * 2020-04-27 2024-06-14 東京エレクトロン株式会社 プラズマ処理装置
US11562909B2 (en) 2020-05-22 2023-01-24 Applied Materials, Inc. Directional selective junction clean with field polymer protections
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JP4431402B2 (ja) 2002-04-08 2010-03-17 東京エレクトロン株式会社 プラズマエッチング方法
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
US7705110B2 (en) * 2004-12-06 2010-04-27 Ppg Industries Ohio, Inc. Non-gelled curable compositions containing imide functional compounds
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP4464979B2 (ja) 2007-03-05 2010-05-19 東京エレクトロン株式会社 処理システム、処理方法、及び、プログラム
JP5956933B2 (ja) 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5750496B2 (ja) 2013-12-11 2015-07-22 株式会社日立ハイテクノロジーズ プラズマ処理方法
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
JP6584162B2 (ja) * 2015-06-22 2019-10-02 東京エレクトロン株式会社 積層封止膜形成方法および形成装置
JP5940199B1 (ja) * 2015-06-26 2016-06-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9721766B2 (en) 2015-10-06 2017-08-01 Tokyo Electron Limited Method for processing target object
JP6537473B2 (ja) 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP2019114692A (ja) 2017-12-25 2019-07-11 東京エレクトロン株式会社 成膜方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764369B (zh) * 2019-11-12 2022-05-11 美商應用材料股份有限公司 減少氫沉積製程
US12027366B2 (en) 2019-11-12 2024-07-02 Applied Materials, Inc. Reduced hydrogen deposition processes

Also Published As

Publication number Publication date
KR102623770B1 (ko) 2024-01-10
US20190214246A1 (en) 2019-07-11
CN110029325A (zh) 2019-07-19
JP7089881B2 (ja) 2022-06-23
TWI833726B (zh) 2024-03-01
CN110029325B (zh) 2022-06-21
US11367610B2 (en) 2022-06-21
KR20190085476A (ko) 2019-07-18
JP2019119918A (ja) 2019-07-22

Similar Documents

Publication Publication Date Title
TWI833726B (zh) 成膜方法
TWI656234B (zh) 背面沉積設備及方法
KR102648956B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
TW201937596A (zh) 成膜方法
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
CN107026081B (zh) 对被处理体进行处理的方法
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
CN108735596B (zh) 处理被处理体的方法
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
KR102461750B1 (ko) 피처리체를 처리하는 방법
TWI724198B (zh) 對被處理體進行處理之方法
TWI760472B (zh) 成膜方法
US9721766B2 (en) Method for processing target object